Basys3在线调试视频指南及代码
fpga在线调试视频链接
FPGA选择型号:xc7a35tcpg236-1
des文件
`timescale 1ns / 1ps
module top(
        output [1:0] led,
        output [1:0] led_btn,
        input clk,
        output clk_out_5m,
        output clk_out_20m,
        wire locked,
        //input btn_1,
        wire reset
        );
      //  reg [1:0]  led_r = 2'b01;
     //(* keep ="TRUE" *)reg [1:0]  led_r = 2'b01;
     (* MARK_DEBUG="true" *) reg [1:0]  led_r = 2'b01;
     reg [1:0]  led_btn_r = 2'b01;
     assign led = led_r;
     assign led_btn = led_btn_r;
       clk_wiz_0 instance_name
      (
      // Clock in ports
       .clk_in(clk),      // input clk_in
       // Clock out ports
       .clk_out_5m(clk_out_5m),     // output clk_out_5m
       .clk_out_200m(clk_out_200m),     // output clk_out_200m
       // Status and control signals
       .reset(reset), // input reset
       .locked(locked)); 
    ila_0 ila_inst_1 (
        .clk(clk), // input wire clk
        .probe0(led_r) // input wire [1:0] probe0
    );
    parameter TIM = 5000000;
    reg[31:0] counter;
    always@(posedge clk_out_5m)
    begin
        counter <= counter + 1;
        if(counter == TIM)
        begin
            counter <= 0;
            if(led_r == 2'b01)  led_r <= 2'b10;
            else                led_r <= 2'b01;
        end
    end
//    always@(negedge btn_1)
//    begin
//        if(led_btn_r == 2'b01)   led_btn_r <= 2'b10;
//        else                     led_btn_r <= 2'b01;
//    end
//    always@(negedge btn_1)
//    begin
//        if(led_btn_r == 2'b01)   led_btn_r <= 2'b10;
//        else                     led_btn_r <= 2'b01;
//    end
endmodule
xdc文件
set_property PACKAGE_PIN U16 [get_ports {led[0]}]
set_property PACKAGE_PIN E19 [get_ports {led[1]}]
set_property PACKAGE_PIN U19 [get_ports {led_btn[0]}]
set_property PACKAGE_PIN V19 [get_ports {led_btn[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_btn[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_btn[0]}]
set_property PACKAGE_PIN W5 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property PACKAGE_PIN T17 [get_ports reset]
set_property IOSTANDARD LVCMOS33 [get_ports reset]
#set_property IOSTANDARD LVCMOS33 [get_ports btn_1]
#set_property PACKAGE_PIN T18 [get_ports btn_1]
set_property PACKAGE_PIN J1 [get_ports clk_out_5m]
set_property PACKAGE_PIN L2 [get_ports clk_out_20m]
set_property PACKAGE_PIN J2 [get_ports locked]
set_property IOSTANDARD LVCMOS33 [get_ports clk_out_5m]
set_property IOSTANDARD LVCMOS33 [get_ports clk_out_20m]
set_property IOSTANDARD LVCMOS33 [get_ports locked]
connect_debug_port u_ila_0/clk [get_nets [list clk_IBUF_BUFG]]
connect_debug_port dbg_hub/clk [get_nets clk_IBUF_BUFG]
create_debug_core u_ila_0 ila
set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
set_property ALL_PROBE_SAME_MU_CNT 4 [get_debug_cores u_ila_0]
set_property C_ADV_TRIGGER true [get_debug_cores u_ila_0]
set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
set_property C_EN_STRG_QUAL true [get_debug_cores u_ila_0]
set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
set_property port_width 1 [get_debug_ports u_ila_0/clk]
connect_debug_port u_ila_0/clk [get_nets [list instance_name/inst/clk_out_5m]]
set_property port_width 2 [get_debug_ports u_ila_0/probe0]
connect_debug_port u_ila_0/probe0 [get_nets [list {led_r[0]} {led_r[1]}]]
set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
connect_debug_port dbg_hub/clk [get_nets clk_BUFG]
												
											Basys3在线调试视频指南及代码的更多相关文章
- HTML5 语义元素、迁移、样式指南和代码约定
		
语义元素是拥有语义的元素. 什么是语义元素? 语义元素清楚地向浏览器和开发者描述其意义. 非语义元素的例子:<div> 和 <span> - 无法提供关于其内容的信息. 语义元 ...
 - iPhone调用ffmpeg2.0.2解码h264视频的示例代码
		
iPhone调用ffmpeg2.0.2解码h264视频的示例代码 h264demo.zip 关于怎么在MAC下编译iOS下的ffmpeg请看 编译最新ffmpeg2.0.1(ffmpeg2.0.2)到 ...
 - 【图像处理】Haar Adaboost 检测自定义目标(视频车辆检测算法代码)
		
阅读须知 本博客涉及到的资源: 正样本:http://download.csdn.net/detail/zhuangxiaobin/7326197 负样本:http://download.csdn.n ...
 - Python爬取视频指南
		
摘自:https://www.jianshu.com/p/9ca86becd86d 前言 前两天尔羽说让我爬一下菜鸟窝的教程视频,这次就跟大家来说说Python爬取视频的经验 正文 https://w ...
 - Java核心技术及面试指南的视频讲解和代码下载位置
		
都是百度云盘,均无密码 代码下载位置: https://pan.baidu.com/s/1I44ob0vygMxvmj2BoNioAQ 视频讲解位置: https://pan.baidu.com/s/ ...
 - 3小时搞定一个简单的MIS系统案例Northwind,有视频、有源代码下载、有真相
		
一.瞎扯框架.架构 楼主自从1998年从C语言.MASM.Foxbase开始学计算机开始接触这个行当16年以来,2001年干第一份与程序.软件.然后是各种屌的东西开始,差不多干了13年了,这13年来, ...
 - python 视频转成代码视频
		
# -*- coding:utf-8 -*- # coding:utf-8 import os, cv2, subprocess, shutil from cv2 import VideoWriter ...
 - 利用Ffmpeg获得flv视频缩略图和视频时间的代码
		
问题描述:获得flv视频的缩略图和视频时间长度 谷歌了半天发现可以使用Ffmpeg获得视频的一些信息,先介绍一下FFMEPG 这里简单说一下:FFmpeg是用于录制.转换和流化音频和视频的完整解决方案 ...
 - 【html】优酷视频去广告代码
		
相信大家都有这样的感受,看个视频有个30秒的广告,让人非常的不爽.同样对于我们前端童鞋来说,为了吸引用户,把制作好的视频嵌入到网页中,结果因为视频有30秒的广告不但没有吸引到多少用户,反而可能会流失一 ...
 
随机推荐
- 将nodejs代码部署到阿里云服务器
			
概述 最近在做一个小项目,其中用nodejs做了个数据转发的接口,之后需要将这部分代码部署到服务器上面,并使用Nginx做反向代理.期间使用搜索引擎大量查阅了其他同鞋的经验,不过写的大多很笼统,因此踩 ...
 - 【洛谷1640】[SCOI2010]连续攻击游戏
			
题目描述 lxhgww最近迷上了一款游戏,在游戏里,他拥有很多的装备,每种装备都有2个属性,这些属性的值用[1,10000]之间的数表示.当他使用某种装备时,他只能使用该装备的某一个属性.并且每种装备 ...
 - 斐波拉契数列(Fibonacci)--用生成器生成数列
			
斐波那契数列(Fibonacci sequence),又称黄金分割数列.因数学家列昂纳多·斐波那契(Leonardoda Fibonacci)以兔子繁殖为例子而引入,故又称为"兔子数列&qu ...
 - Java遍历二叉树深度宽度
			
节点数据结构 class TreeNode { TreeNode left = null; TreeNode right = null; } 最大深度,基本思路是:使用递归,分别求出左子树的深度.右子 ...
 - SpringMVC中的适配器(适配者模式)
			
此处采用了适配器模式, 由于Controller的类型不同,有多重实现方式,那么调用方式就不是确定的,如果需要直接调用Controller方法,需要在代码中写成如下形式: if(mappedHandl ...
 - .NET Core开源API网关 – Ocelot中文文档
			
Ocelot是一个用.NET Core实现并且开源的API网关,它功能强大,包括了:路由.请求聚合.服务发现.认证.鉴权.限流熔断.并内置了负载均衡器与Service Fabric.Butterfly ...
 - Problem : 1412 ( {A} + {B} )
			
//集合中元素是不会重复的,所以完全没有必要将两个集合合并后再进行排序,交换排序的时间效率是O(n^2),将两个集合中的元素分别排序后输出即可.输出格式也非常需要 //注意的.输出一列元素赢以cout ...
 - CXGrid TcxButtonEdit 信息获取  TcxButtonEditProperties取得TcxGridDBTableView
			
( TcxButtonEdit(Sender).ActiveProperties).Buttons[AButtonIndex].Hint;//取到按钮本身的属性IntToStr( ( TcxGridS ...
 - node 自动重启 nodemon
			
最近在玩node,可是每次修改完js,总要重启下服务,还要杀掉端口,感觉可麻烦了,最近发现nodemon这个东西很好,直接解决了我的烦恼. npm install -g nodemon 以后直接启动n ...
 - 自定义TabBar之理解hittest
			
需求的TabBar是这样的:5个 tabItem, 中间的那个 item 部分超出系统默认TabBar的上边界. 那么实现的关键点就是如何在点击它突出的部分的时候,也可以正常获得响应.我来把问题简化, ...