编译的时候没有注意,整个工程都可以在板子上跑起来。但是做Powerplay的时候,出现了这个Critical Warning:、

Critical Warning: The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command.
Critical Warning: From altera_reserved_tck (Rise) to altera_reserved_tck (Rise) (setup and hold)
Critical Warning: From altera_reserved_tck (Rise) to altera_reserved_tck (Fall) (setup and hold)
Critical Warning: From altera_reserved_tck (Fall) to altera_reserved_tck (Fall) (setup and hold)

参考了很多文献。Altera上说是时序问题,并提供了如下解决方法:

Solution

The altera_reserved_tck pin is automatically generated for a design that uses a JTAG accessible module such as the SignalTap? II logic analyzer, the In-System Memory Content Editor or the Nios? II debugger.

To constrain this JTAG clock, apply a 10-MHz clock constraint to this pin.

For the TimeQuest Timing Analyzer, use the following command:

create_clock -period "100.000 ns" -name {altera_reserved_tck} {altera_reserved_tck}

For the Quartus? II Classic Timing Analyzer, use the following command:

set_global_assignment -name FMAX_REQUIREMENT "10 MHz" -section_id altera_reserved_tck
set_instance_assignment -name CLOCK_SETTINGS altera_reserved_tck -to altera_reserved_tck

Any datapaths crossing into the altera_reserved_tck clock domain from another domain can be set as false paths. Similarly any datapaths crossing from the altera_reserved_tck domain to another domain can also be set as false paths.

好像有些人用了这个方法之后有效果。但是我的没有效果。有另外搜索了好多。实验了很多次,尽管使用的命令各不相同,但是大家一致认为这个事是时序约束没做好的问题,跟使用JTAG有关系。最后找到一片博文:http://blog.sina.com.cn/s/blog_436c7ed30100lu1q.html

我很欣赏博主的“依样画葫芦”的精神,跟我太像了。说实话这个精神救了很多人,害了整个国家。但是这并不影响我再一次“依样画葫芦”:

set_clock_uncertainty  -setup -rise_from altera_reserved_tck -rise_to altera_reserved_tck 0.150
set_clock_uncertainty  -hold -rise_from altera_reserved_tck -rise_to altera_reserved_tck 0.150

set_clock_uncertainty  -setup -rise_from altera_reserved_tck -fall_to altera_reserved_tck 0.150
set_clock_uncertainty  -hold -rise_from altera_reserved_tck -fall_to altera_reserved_tck 0.150

set_clock_uncertainty  -setup -fall_from altera_reserved_tck -fall_to altera_reserved_tck 0.150
set_clock_uncertainty  -hold -fall_from altera_reserved_tck -fall_to altera_reserved_tck 0.150

这几句话添加上去,就没有Warning了。希望看到这篇文章的牛人,留言批评一下,告诉我应该在哪里弄清楚这些东西呢?

9.quartus_warning_altera_reserved_tck的更多相关文章

随机推荐

  1. web传参

    页面通过对象,将表单数据传送给后端,后端通过对象接收参数值,

  2. AndroidStudio3.0 注解报错Annotation processors must be explicitly declared now. The following dependencies on the compile classpath are found to contain annotation processor.

    把Androidstudio2.2的项目放到3.0里面去了,然后开始报错了. 体验最新版AndroidStudio3.0 Canary 8的时候,发现之前项目的butter knife报错,用到注解的 ...

  3. Gradle sync failed: Failed to find Build Tools revision 26.0.2的解决办法

    说明在android studio中没有 build tools 的26.0.2的版本,你确认一下,是否是这样: 点击==>android studio的菜单栏中Tools==>andro ...

  4. WebService部署服务器调试时提示 “测试窗体只能用于来自本地计算机的请求”解决方法

    原因:没有开启服务器访问权限! 解决方法: 在web.config的<system.web></system.web>中加入如下配置节内容即可解决 <webService ...

  5. 请问:在delphi中怎样判断DBgrid中数据是否被修改,以便在退出窗口时加以提示

    若DBGrid.DataSource.DateSet为ADOQuery1,这样试一下:if ADOQuery1.Modified then ... procedure TForm1.FormClose ...

  6. .NET中SQL Server数据库连接方法

    1. 使用本机上的SQL Server Express 实例上的用户实例.       用户实例的连接创建了一个新的SQL Server 实例.此连接只能是在本地SQL Server 2005实例上并 ...

  7. java 当读取的结果为-1时候说明已经读取结束了

    当读取的结果为-1时候说明已经读取结束了

  8. P1483 序列变换

    题目描述 给定一个由n个整数构成的序列,你需要对它进行如下操作: 操作1:输入格式“1 x y”,表示把所有a[kx](k为正整数,kx<=n)都加上y. 操作2:输入格式“2 j”,表示输出a ...

  9. C++中swap函数

    本文是我用到swap函数时,对其产生好奇,所以结合网上有关博文写下的.个人水平有限,若有错误的地方,欢迎留言指出.谢谢! 一.通用的函数交换模板 template<class T> voi ...

  10. [Leetcode] search in rotated sorted array ii 搜索旋转有序数组

    Follow up for "Search in Rotated Sorted Array":What if duplicates are allowed? Would this ...