编译的时候没有注意,整个工程都可以在板子上跑起来。但是做Powerplay的时候,出现了这个Critical Warning:、

Critical Warning: The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command.
Critical Warning: From altera_reserved_tck (Rise) to altera_reserved_tck (Rise) (setup and hold)
Critical Warning: From altera_reserved_tck (Rise) to altera_reserved_tck (Fall) (setup and hold)
Critical Warning: From altera_reserved_tck (Fall) to altera_reserved_tck (Fall) (setup and hold)

参考了很多文献。Altera上说是时序问题,并提供了如下解决方法:

Solution

The altera_reserved_tck pin is automatically generated for a design that uses a JTAG accessible module such as the SignalTap? II logic analyzer, the In-System Memory Content Editor or the Nios? II debugger.

To constrain this JTAG clock, apply a 10-MHz clock constraint to this pin.

For the TimeQuest Timing Analyzer, use the following command:

create_clock -period "100.000 ns" -name {altera_reserved_tck} {altera_reserved_tck}

For the Quartus? II Classic Timing Analyzer, use the following command:

set_global_assignment -name FMAX_REQUIREMENT "10 MHz" -section_id altera_reserved_tck
set_instance_assignment -name CLOCK_SETTINGS altera_reserved_tck -to altera_reserved_tck

Any datapaths crossing into the altera_reserved_tck clock domain from another domain can be set as false paths. Similarly any datapaths crossing from the altera_reserved_tck domain to another domain can also be set as false paths.

好像有些人用了这个方法之后有效果。但是我的没有效果。有另外搜索了好多。实验了很多次,尽管使用的命令各不相同,但是大家一致认为这个事是时序约束没做好的问题,跟使用JTAG有关系。最后找到一片博文:http://blog.sina.com.cn/s/blog_436c7ed30100lu1q.html

我很欣赏博主的“依样画葫芦”的精神,跟我太像了。说实话这个精神救了很多人,害了整个国家。但是这并不影响我再一次“依样画葫芦”:

set_clock_uncertainty  -setup -rise_from altera_reserved_tck -rise_to altera_reserved_tck 0.150
set_clock_uncertainty  -hold -rise_from altera_reserved_tck -rise_to altera_reserved_tck 0.150

set_clock_uncertainty  -setup -rise_from altera_reserved_tck -fall_to altera_reserved_tck 0.150
set_clock_uncertainty  -hold -rise_from altera_reserved_tck -fall_to altera_reserved_tck 0.150

set_clock_uncertainty  -setup -fall_from altera_reserved_tck -fall_to altera_reserved_tck 0.150
set_clock_uncertainty  -hold -fall_from altera_reserved_tck -fall_to altera_reserved_tck 0.150

这几句话添加上去,就没有Warning了。希望看到这篇文章的牛人,留言批评一下,告诉我应该在哪里弄清楚这些东西呢?

9.quartus_warning_altera_reserved_tck的更多相关文章

随机推荐

  1. java map的键是唯一的 所有 用set类型存放

  2. iOS-学习UIKIt框架的重要性

      前言: 众所周知,我们的移动设备的屏幕上可以展示很多图形界面,作为用户的我们可以通过屏幕上的图形界面浏览信息,也可以通过与图形界面的简单交互,在移动设备上实现各种各样的功能操作.....可以说,没 ...

  3. [WC2005]双面棋盘

    description 洛谷 给出一个\(n\times n\)的黑白棋盘. \(m\)次操作,每次将一个格子进行颜色翻转,求每次操作后的黑白四连通块数. data range \[n\le 200, ...

  4. BZOJ5301:[CQOI2018]异或序列——题解

    https://www.lydsy.com/JudgeOnline/problem.php?id=5301 https://www.luogu.org/problemnew/show/P4462 已知 ...

  5. BZOJ3675 & 洛谷3648 & UOJ104:[Apio2014]序列分割——题解

    https://www.lydsy.com/JudgeOnline/problem.php?id=3675 https://www.luogu.org/problemnew/show/P3648 ht ...

  6. [Leetcode] Binary tree postorder traversal二叉树后序遍历

    Given a binary tree, return the postorder traversal of its nodes' values. For example:Given binary t ...

  7. HHVM,高性能的PHP执行引擎

    HHVM (HipHop Virtual Machine) 是 Facebook 开源的 PHP 执行引擎. HHVM 采用一种JIT(just-in-time)的编译机制实现了高性能,同时又保持对 ...

  8. Network LCA修改点权

    Problem Description The ALPC company is now working on his own network system, which is connecting a ...

  9. Java Zip压缩

    1.压缩文件或整个目录 // ZipCompression.java import java.io.File;import java.io.FileInputStream;import java.io ...

  10. [ 转载]Tomcat7 catalina.out 日志分割

    http://m.blog.csdn.net/blog/mark_qi/8864644 最近由于工作需要,tomcat 的catalina.out文件的不断扩大,导致系统磁盘空间边变小,而且管理也难于 ...