Prime Time使用
PrimeTime一般用作sign off的timing check,也可用在DC之后的netlist的timing analysis
一般的使用流程:
1) Read design data,--------------netlist,db,sdf,parasitics,milkway
2) Constrain design,--------------sdc
3) Specify env and analysis condition,
4) Check design and analysis setup,
5) Perform a full analysis,
Read design:
通过set search_path "" 来设置db/lib
set link_path "" (optional)
link_design TOP (指定design top)
read_verilog/read_vhdl 来读入netlist到PrimeTime
read_parasitics/read_sdf 进行annotation
read_sdc/source 进行timing constraints和exception约束
Constraining The Design:
约束clock characteristics
约束input delay at input port
约束output delay at output port
针对clock,create_clock创建,include name,source,period,waveform
clock network,set_clock_uncertainty, set_propagated_clock, set_clock_transiaction, set_clock_latency
create_generated_clock
set_input_delay
set_output_delay
Specify env and analysis condition
Specify process, temperature, voltage
apply case analysis and mode analysis set_case_analysis single/BC_WC/OCV set_case_analysis/set_mode(lib中不同的characteristic)
specify driving cell at input ports, load at output ports set_driving_cell set_load
specify timing exceptions set_min_delay set_max_delay set_disable_timing
specify wire load model and back-annotated set_wire_load_model/read_sdf/read_parastics
Check Design and analysis setup
check timing
report_design
report_port
report_net
report_lib
report_path_group
report_clock
report_wire_load
Performing a Full Analysis
report_timing -delay_type/-from/-to/-through/-rise_through/-rise_from
report_constraint
report_analysis_coverage
report_delay_calculation
PrimeTime中的Design Objects,可以使用get命令, set_input_delay 2.3 [get_ports IN*]
cell---------instance in the design, include reference hierarchical blocks and library cell
lib_cell--------cells in technology library
lib_pin-------pins in library cells
net----------nets in current design
pin-----pins of lower-level cells in the design, can be input/ output/ inout
port-------ports of current design, can be input/ output/ inout
path group----Timing reports organized by path group
Prime Time使用的更多相关文章
- Java 素数 prime numbers-LeetCode 204
Description: Count the number of prime numbers less than a non-negative number, n click to show more ...
- Prime Generator
Peter wants to generate some prime numbers for his cryptosystem. Help him! Your task is to generate ...
- POJ 2739. Sum of Consecutive Prime Numbers
Sum of Consecutive Prime Numbers Time Limit: 1000MS Memory Limit: 65536K Total Submissions: 20050 ...
- UVa 524 Prime Ring Problem(回溯法)
传送门 Description A ring is composed of n (even number) circles as shown in diagram. Put natural numbe ...
- Sicily 1444: Prime Path(BFS)
题意为给出两个四位素数A.B,每次只能对A的某一位数字进行修改,使它成为另一个四位的素数,问最少经过多少操作,能使A变到B.可以直接进行BFS搜索 #include<bits/stdc++.h& ...
- hdu 5901 count prime & code vs 3223 素数密度
hdu5901题目链接:http://acm.hdu.edu.cn/showproblem.php?pid=5901 code vs 3223题目链接:http://codevs.cn/problem ...
- 最小生成树 prime zoj1586
题意:在n个星球,每2个星球之间的联通需要依靠一个网络适配器,每个星球喜欢的网络适配器的价钱不同,先给你一个n,然后n个数,代表第i个星球喜爱的网络适配器的价钱,然后给出一个矩阵M[i][j]代表第i ...
- 最小生成树 prime poj1258
题意:给你一个矩阵M[i][j]表示i到j的距离 求最小生成树 思路:裸最小生成树 prime就可以了 最小生成树专题 AC代码: #include "iostream" #inc ...
- 最小生成树 prime + 队列优化
存图方式 最小生成树prime+队列优化 优化后时间复杂度是O(m*lgm) m为边数 优化后简直神速,应该说对于绝大多数的题目来说都够用了 具体有多快呢 请参照这篇博客:堆排序 Heapsort / ...
- 最小生成树 prime poj1287
poj1287 裸最小生成树 代码 #include "map" #include "queue" #include "math.h" #i ...
随机推荐
- STM32之SRAM调试
在学习STM32的时候,由于烧FLASH的所造成的时间会比较慢,而在SRAM中调试的时间会比FLASH快很多,再加上FLASH的时候会经常擦除芯片,会对芯片的寿命造成一定的影响, 其实我本人觉得在学习 ...
- Javascript的shift()和push(),unshift()和pop()方法简介
栈方法: Javascript为数组专门提供了push()和pop()方法,以便实现类似栈的行为.来看下面的例子: var colors=new Array(); //创建一个数组 var ...
- Lua数据持久化
1.数据文件 我们可以利用Lua中的table构造式来定义一种文件格式,即文件中的数据是table构造并初始化的代码 ,这种方式对于Lua程序而言是非常方便和清晰的,如: Entry{" ...
- js 的match方法
怎么调用? 字符串对象.match( 目标串 ); 返回值? 如果存在,就返回这个字符串: 否则, 返回null 实例 举一个常用的例子,判断图片路径是否包含某个字符串.
- Final-阶段站立会议6
组名:天天向上 组长:王森 组员:张政.张金生.林莉.胡丽娜 代码地址:HTTPS:https://git.coding.net/jx8zjs/llk.git SSH:git@git.coding.n ...
- 浏览器IE与非IE区分
1. window.VBArray IE自带 if(window.VBArray) {console.log("IE 浏览器");} else{console.log(" ...
- .net core 1.0 中的asp.net identity 基本使用(一)
1.修改密码强度:打开Startup.cs,在public class Startup{}内找public void ConfigureServices(IServiceCollection serv ...
- Ubuntu使用ssh公钥实现免密码登录
ssh 无密码登录要使用公钥与私钥.linux下可以用用ssh-keygen生成公钥/私钥对,下面我以Ubuntu为例. 有机器A(10.0.2.1),B(10.0.2.100).现想A通过ssh免密 ...
- php取整函数ceil,floor,round,intval函数的区别
开发过程中,遇到数据处理取整的时候,你会用哪个呢,小涛来介绍一下:PHP取整函数有ceil,floor,round,intval,下面详细介绍一下: 1.ceil — 进一法取整说明float cei ...
- C++强制类型转换
C语言强制类型转换过于粗暴,任意类型之间都可以进行转换,编译很难判断其正确性; 难于定位,在源码中无法快速定位所有使用强制类型转换的语句. C++将强制类型转换分为4种不同的类型:static_cas ...