verilog学习笔记(1)_两个小module
第一个小module-ex_module
module ex_module(
		input wire sclk,//声明模块的时候input变量一定是wire变量
		input wire rst_n,//末尾带有_n或者_b代表低电平有效
		input wire [7:0] d,
		output reg [7:0] q//明模块的时候output变量可以是wire变量,也可以是reg变量 //reg变量必须在always块里赋值
);
//异步D触发器
always@(posedge sclk or negedge ret_n)//括号内为敏感列表 电平触发或者沿触发 此处为沿触发
	if(rst_n == 1'b01)//条件表,这里是组合逻辑
		q <= 8'h00	//16进制 1个符号代表4个比特 //沿触发的逻辑里边一定都用<=非阻塞赋值
	else q <= d;
//同步D触发器
always@(posedge sclk)
	if(rst_n == 1'b0)
		q <= 8'h00;
	else
		q <= d;
endmodule
第二个小module-
module ex_wire(
		input wire sel,
		input wire a,
		input wire b,
		output wire c
);
//wire 变量一定用assign 连续赋值语句赋值,且必须用阻塞赋值
//assign c = (条件)?a:b;//条件满足执行a,否则执行b
assign c = (sel == 1'b1)? a : b;//a,b可以为reg变量,c一定为wire变量
endmodule
verilog学习笔记(1)_两个小module的更多相关文章
- verilog学习笔记(2)_一个小module及其tb
		module-ex_cnt module ex_cnt( input wire sclk, input wire rst_n, output wire[9:0] cnt ); reg [9:0] cn ... 
- verilog学习笔记(4)_有限状态机
		有限状态机: 有限状态机是由寄存器组和组合逻辑构成的硬件时序电路: - 其状态(即由寄存器组的1和0的组合状态所构成的有限个状态)只能在同一时钟跳变沿的情况下才能从一个状态转向另一个状态: - 究竟转 ... 
- Lua学习笔记 入门的两个小程序
		[Hello World]第一个Lua程序将遵循传统做法,打印一句“Hello World”: print("Hello World") [阶乘]下面的程序用于输出输入值对应的阶乘 ... 
- 【自动化学习笔记】_环境搭建Selenium2+Eclipse+Java+TestNG_(一)
		目录 第一步 安装JDK 第二步 下载Eclipse 第三步 在Eclipse中安装TestNG 第四步 下载Selenium IDE.SeleniumRC.IEDriverServer 第五步 下 ... 
- 学习笔记:暴力破解WIFI小软件
		小弟 自己的学习笔记,做练习的 ,缺陷还很多,做到无法解决速度问题就不想做下去了,如果要看的话 主要是思路问题,获取句柄,控制句柄而已,代码比较简单.大神勿喷啊 破解DEMO源码:http://dow ... 
- verilog学习笔记(3)_task/case小例子及其tb
		module ex_case `timescale lns/1ns module ex_case( input wire rst_n, input wire sclk, output reg [7:0 ... 
- <深入理解JavaScript>学习笔记(1)_编写高质量JavaScript代码的基本要点
		注:本文是拜读了 深入理解JavaScript 之后深有感悟,故做次笔记方便之后查看. JQuery是一个很强大的JavaScript 类库,在我刚刚接触JavaScript的就开始用了. JQuer ... 
- Verilog学习笔记基本语法篇(九)········ 任务和函数
		task 和 function 说明语句分别用来定义任务和函数,利用任务和函数可以把函数模块分成许多小的任务和函数便于理解和调试.任务和函数往往还是大的程序模块在不同地点多次用到的相同的程序段.输入. ... 
- <深入理解JavaScript>学习笔记(5)_强大的原型和原型链
		前言 JavaScript 不包含传统的类继承模型,而是使用 prototypal 原型模型. (prototypal :原型.学好英语还是很重要的) 虽然这经常被当作是 JavaScript 的缺点 ... 
随机推荐
- .NET平台开源项目速览(21)Cron任务调度CronNET
			如果用知乎,可以关注专栏:.NET开源项目和PowerBI社区 Quartznet大名鼎鼎应该很少有人不知道,相关的开源项目很多,不过那东东对新手来说,有点晦涩,加上哪个Cron表达式,可能一进去云里 ... 
- 第二篇:数据可视化 - 基本API
			前言 数据可视化是数据挖掘非常重要的一个环节,它不单在查阅了解数据环节使用到,在整个数据挖掘的流程中都会使用到. 因为数据可视化不单可以形象地展示数据,让你对数据有更好的总体上的了解,而且还可以让你清 ... 
- Jenkins + Github持续集成构建Docker容器,维基百科&人工自能(AI)模块
			本文分两部分,第一部分是手动计划任务的方式构建Github上的Docker程序,第二部分是用Github webhook Trigger一个自动构建任务. Jenkins采用2.5版本Docker采用 ... 
- 【BZOJ1305】跳舞(网络流)
			[BZOJ1305]跳舞(网络流) 题面 Description 一次舞会有n个男孩和n个女孩.每首曲子开始时,所有男孩和女孩恰好配成n对跳交谊舞.每个男孩都不会和同一个女孩跳两首(或更多)舞曲.有一 ... 
- [HDU2296]Ring
			vjudge Description For the hope of a forever love, Steven is planning to send a ring to Jane with a ... 
- [BZOJ4195] [NOI2015] 程序自动分析 (并查集)
			Description 在实现程序自动分析的过程中,常常需要判定一些约束条件是否能被同时满足. 考虑一个约束满足问题的简化版本:假设x1,x2,x3,…代表程序中出现的变量,给定n个形如xi=xj或x ... 
- freemind中内容变成html转义字符解决方法
			在使用freemind的时候,没有正常关闭,导致原来的内容变成下面这样: <html> <body> <p> <b>查询所有</b> < ... 
- Java生成条码二维码
			一.概述 可用barcode4j或zxing等第三方库,推荐zxing. barcode4j资料链接:http://barcode4j.sourceforge.net/ zxing资料链接:https ... 
- MySQL多数据源笔记3-分库分表理论和各种中间件
			一.使用中间件的好处 使用中间件对于主读写分离新增一个从数据库节点来说,可以不用修改代码,达到新增节点数据库而不影响到代码的修改.因为如果不用中间件,那么在代码中自己是先读写分离,如果新增节点, 你进 ... 
- Liveness 探测 - 每天5分钟玩转 Docker 容器技术(143)
			Liveness 探测让用户可以自定义判断容器是否健康的条件.如果探测失败,Kubernetes 就会重启容器. 还是举例说明,创建如下 Pod: 启动进程首先创建文件 /tmp/healthy,30 ... 
