module mr_rom_pll_valuemask_8bpc #(
parameter NUMBER_OF_MIF_RANGE = ,
parameter ROM_DEPTH_FOR_EACH_MIF_RANGE = ,
parameter ROM_SIZE = ,
parameter TOTAL_ROM_DEPTH = , // 6*7
parameter ADDR_WIDTH = // alt_clogb2(42)
) (
input wire clock,
input wire [ADDR_WIDTH-:] addr_ptr,
output wire [ROM_SIZE-:] rdata_out
); reg [ROM_SIZE-:] ROM [:TOTAL_ROM_DEPTH-];
wire [ROM_SIZE-:] DATAA = {ROM_SIZE{'b0}};
wire [ADDR_WIDTH-:] RADDR; initial begin
// FIELD_VALMASK
// ROM OFFSET 0 (25MHz - 50MHz)
ROM[] <= 'h00000F0F; // m 30
ROM[] <= 'h00010000; // n 1
ROM[] <= 'h00000303; // c0 6
ROM[] <= 'h00001E1E; // c1 60
ROM[] <= 'h00001E1E; // c2 60
ROM[] <= 'h00000010; // cp
ROM[] <= 'h00000100; // bw
// ROM OFFSET 1 (51MHz - 70MHz)
ROM[] <= 'h00000A0A; // m 20
ROM[] <= 'h00010000; // n 1
ROM[] <= 'h00000202; // c0 4
ROM[] <= 'h00001414; // c1 40
ROM[] <= 'h00001414; // c2 40
ROM[] <= 'h0000000B; // cp
ROM[] <= 'h000000C0; // bw
// ROM OFFSET 2 (71MHz - 100MHz)
ROM[] <= 'h00000505; // m 10
ROM[] <= 'h00010000; // n 1
ROM[] <= 'h00000101; // c0 2
ROM[] <= 'h00000A0A; // c1 20
ROM[] <= 'h00000A0A; // c2 20
ROM[] <= 'h00000010; // cp
ROM[] <= 'h000000C0; // bw
// ROM OFFSET 3 (101MHz - 170MHz)
ROM[] <= 'h00000404; // m 8
ROM[] <= 'h00010000; // n 1
ROM[] <= 'h00000404; // c0 8
ROM[] <= 'h00000808; // c1 16
ROM[] <= 'h00000808; // c2 16
ROM[] <= 'h00000010; // cp
ROM[] <= 'h000000C0; // bw
// ROM OFFSET 4 (171MHz - 340MHz)
ROM[] <= 'h00000202; // m 4
ROM[] <= 'h00010000; // n 1
ROM[] <= 'h00000202; // c0 4
ROM[] <= 'h00000404; // c1 8
ROM[] <= 'h00000404; // c2 8
ROM[] <= 'h00000010; // cp
ROM[] <= 'h000000C0; // bw
// ROM OFFSET 5 (85.25MHz - 150MHz) - 2.0
ROM[] <= 'h00000404; // m 8
ROM[] <= 'h00010000; // n 1
ROM[] <= 'h00000101; // c0 2
ROM[] <= 'h00000202; // c1 4
ROM[] <= 'h00000202; // c2 4
ROM[] <= 'h00000010; // cp
ROM[] <= 'h000000C0; // bw
// Set the rest to all zeros
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
ROM[] <= 'h00000000;
end // write is unused
wire [ADDR_WIDTH-:] ADDRA = {ADDR_WIDTH{'b0}};
wire WEA = 'b0;
always @ (posedge clock)
begin
if (WEA) begin
ROM[ADDRA] <= DATAA;
end
end assign RADDR = addr_ptr; reg [ROM_SIZE-:] RDATA;
always @ (posedge clock)
begin
RDATA <= ROM[RADDR];
end assign rdata_out = RDATA; endmodule

ROM的一种写法的更多相关文章

  1. java设计模式之单例模式(几种写法及比较)

    概念: Java中单例模式是一种常见的设计模式,单例模式的写法有好几种,这里主要介绍三种:懒汉式单例.饿汉式单例.登记式单例. 单例模式有以下特点: 1.单例类只能有一个实例. 2.单例类必须自己创建 ...

  2. javascript函数的几种写法集合

    1.常规写法 function fnName(){ console.log("常规写法"); } 2.匿名函数,函数保存到变量里 var myfn = function(){ co ...

  3. php插入式排序的两种写法。

    百度了下插入式排序,百度百科中php版本的插入式排序如下: function insert_sort($arr) { // 将$arr升序排列 $count = count($arr); for ($ ...

  4. ASP.NET MVC中获取URL地址参数的两种写法

    一.url地址传参的第一种写法 1.通过mvc中默认的url地址书写格式:控制器/方法名/参数 2.实例:http://localhost:39270/RequestDemo/Index/88,默认参 ...

  5. java单例模式的几种写法比较

    概念: Java中单例模式是一种常见的设计模式,单例模式的写法有好几种,这里主要介绍三种:懒汉式单例.饿汉式单例.登记式单例. 单例模式有以下特点: 1.单例类只能有一个实例. 2.单例类必须自己创建 ...

  6. 链接属性rel=’external’、rel=’nofollow’、rel=’external nofollow’三种写法的区别

    链接属性rel='external'.rel='nofollow'.rel='external nofollow'三种写法的区别   大家应该都知道rel='nofllow'的作用,它是告诉搜索引擎, ...

  7. ORACLE 查询一个数据表后通过遍历再插入另一个表中的两种写法

    ORACLE 查询一个数据表后通过遍历再插入另一个表中的两种写法 语法 第一种: 通过使用Oracle语句块  --指定文档所有部门都能查看 declare cursor TABLE_DEPT and ...

  8. 全面理解Javascript闭包和闭包的几种写法及用途

    好久没有写博客了,过了一个十一长假都变懒了,今天总算是恢复状态了.好了,进入正题,今天来说一说javascript里面的闭包吧!本篇博客主要讲一些实用的东西,主要将闭包的写法.用法和用途.  一.什么 ...

  9. EF架构~linq模拟left join的两种写法,性能差之千里!

    回到目录 对于SQL左外连接我想没什么可说的,left join将左表数据都获出来,右表数据如果在左表中不存在,结果为NULL,而对于LINQ来说,要实现left join的效果,也是可以的,在进行j ...

随机推荐

  1. BadgeView 圆形数字提醒 购物车常用

    实际上BadgeView这个类就是继承TextView的.很多TextView中设置字体的方法都适用于BadgeView. 1. setTargetView(View) --> 设置哪个控件显示 ...

  2. mysql备份数据库出错mysqldump: [ERROR] unknown option '--no-beep'

    公司数据库前一版本是部署在windows上面的,由于业务需要,迁移到linux,之前一段脚本在windows下使用定时任务执行正常. mysqldump -uzzz -pxxxx --opt --de ...

  3. js 正则表达式:密码必须由6-12位数字加字母组成

    ^(?![0-9]+$)(?![a-zA-Z]+$)[0-9A-Za-z]{6,12}$

  4. (转)Android学习路线总结,绝对干货

    一.前言 不知不觉自己已经做了几年开发了,由记得刚出来工作的时候感觉自己能牛逼,现在回想起来感觉好无知.懂的越多的时候你才会发现懂的越少. 如果你的知识是一个圆,当你的圆越大时,圆外面的世界也就越大. ...

  5. 在电脑上查看小米手机连接wifi时保存的密码

    手机连接的wifi,想另一个手机也连上,密码忘了. 先备份手机的资料,然后将手机连上电脑,在SD卡上MIUI-backup-allbackup文件夹中, 找到刚才备份的文件夹 点进去找到WLAN设置. ...

  6. vim自动添加tags、cscope

    每次打开一个工程都需要重新添加tags.cscope,很不方便,网上找了一下. 将其添加到 ~/.vimrc 中之后,打开 vim 就会自动搜索当前路径下的tags.cscope添加进去. 自动添加 ...

  7. 清晰易懂!关于PS入门的超详细笔记!

    给大家分享一篇关于PS入门的超详细笔记!原理讲解清晰明了,虽不是新版本解析,但都是新手学习PS必掌懂的一些知识点,灰常的实用,转走收藏学习! 编辑:千锋UI设计 来源:PS学堂

  8. Eclipse创建Spring项目 未完

    使用的软件及版本 1)Eclipse:Eclipse Java EE IDE for Web Developers :Version: 2018-09 (4.9.0) 2)JDK:java versi ...

  9. [Robot Framework] 执行时报 webdriver 异常

    在用Robot Framework通过Selenium2Library做web界面自动化测试的时候,报webdriver的错误: 此种情况是因为WebDriver的版本与浏览器的版本不对应. WebD ...

  10. 数据结构:链表 >> 链表按结点中第j个数据属性排序(冒泡排序法)

    创建结点类,链表类,测试类 import java.lang.Object; //结点node=数据date+指针pointer public class Node { Object iprop; p ...