ncsim仿真VHDL

1、文件列表

ctrl.vhd

design_io.vhd

tb.vhd

compile.nc

simulate.nc

./shm/shmtb.tcl

2、 Compile你的VHDL设计文件[compile.nc]

  1. #!/bin/csh -f
  2. #----------------------------------------------------------------------
  3.  
  4. # ------------------------------------------------------------------- #
  5. # Directories location
  6. # ------------------------------------------------------------------- #
  7.  
  8. setenv src_dir ../src
  9. setenv tb_dir ./
  10. setenv work_dir ./lib
  11.  
  12. # ------------------------------------------------------------------- #
  13. # Library creation
  14. # ------------------------------------------------------------------- #
  15.  
  16. setenv CDS_VHDL /user/EDA_Tools/Cadence/IUS_11.10_lnx86/tools.lnx86/inca
  17.  
  18. echo "softinclude $CDS_VHDL/files/cds.lib" > cds.lib
  19. echo "define work ./lib" >> cds.lib
  20. echo " " > hdl.var
  21. mkdir -p $work_dir
  22.  
  23. # Compile Key Expander
  24. #
  25. ncvhdl -v93 -work work $src_dir/ctrl.vhd
  26.  
  27. #
  28. # Compile Testbench
  29. #
  30. ncvhdl -v93 -work work $tb_dir/design_io.vhd
  31. ncvhdl -v93 -work work $tb_dir/tb.vhd

compile.nc

3、simulate生成波形[simulate.nc]

  1. #!/bin/csh -f
  2. #----------------------------------------------------------------------
  3. # ------------------------------------------------------------------- #
  4. # Elaborating the Design
  5. # ------------------------------------------------------------------- #
  6.  
  7. ncelab -work work work.tb:beh -access wrc
  8.  
  9. # ******************************************************************* #
  10. # ------------------------------------------------------------------- #
  11. # Simulating the Design
  12. # ------------------------------------------------------------------- #
  13.  
  14. ncsim tb +acssce+rwc -MESSAGES -input ./shm/shmtb.tcl

simulate.nc

4、Tcl脚本文件控制生成波形[./shm/shmtb.tcl]

  1. #生成VCD文件
  2. database -open ./waves/tbvcdwaves -vcd -default
  3. probe -create beh -depth all -all -vcd -database ./waves/tbvcdwaves
  4.  
  5. #生成shm文件
  6. database -open ./waves/tbwaves -shm -default
  7. probe -create beh -depth all -all -shm -database ./waves/tbwaves
  8. run
  9. exit

shmtb.tcl

5、 运行脚本run[run]

注意将相关文件权限更新为可执行

  1. ./compile.nc
  2. ./simulate.nc

run

6、使用simvison查看波形

  1. simvision ./waves/tbwaves.shm/tbwaves.trn &

ncsim仿真VHDL的更多相关文章

  1. vsim仿真VHDL输出fsdb格式文件

    vsim(modelsim)仿真VHDL输出fsdb格式文件 1.Dump准备 (1) 将下列设置放到顶层testbench tb.vhd文件中[注意放置的位置:关系如图] library novas ...

  2. 怎样使用Debussy+ModelSim快速查看前仿真波形

    引子:ModelSim是HDL仿真软件,Debussy是波形查看软件:搭配使用,相当爽.此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此.两款软件的功能都很强大,请自行研究. 注:本篇博文的软 ...

  3. 在VCS仿真器中使用FSDB[转载]

    来源:https://www.cnblogs.com/catannie/p/8099331.html FSDB(Fast Signal Database)是Verdi支持的文件格式,用于保存仿真产生的 ...

  4. 在VCS仿真器中使用FSDB

    FSDB(Fast Signal Database)是Verdi支持的文件格式,用于保存仿真产生的信号波形.据Verdi文档说明,FSDB比标准的VCD格式节省磁盘空间,处理速度更快.要用VCS仿真器 ...

  5. Qucs初步使用指南(不是multism)

    众所周知,Multism是一款强大的电路仿真软件,学习电子电路的同学都会接触到. 但是,这软件不支持Linux.(这就很魂淡了啊) 我的主力机是Linux,不能进行电路仿真成了学习的最大障碍. 使用w ...

  6. VHDL学习之TEXTIO在仿真中的应用

    TEXTIO 在VHDL 仿真与磁盘文件之间架起了桥梁,使用文本文件扩展VHDL 的仿真功能.本文介绍TEXTIO 程序包,以一个加法器实例说明TEXTIO 的使用方法,最后使用ModelSim 对设 ...

  7. VHDL TestBench 测试终止时自动结束仿真——assert方法

    可在结束仿真位置添加如下代码: assert false report "Simulation is finished!" severity Failure; 则在Modelsim ...

  8. 基于BASYS2的VHDL程序与仿真——50%占空比8分频器

    转帖请注明转自http://www.cnblogs.com/connorzx/p/3547673.html 一.新建工程 1.点击File->New Project, 2.点击Next 注:此处 ...

  9. lattice 与 modelsim 仿真 笔记

    对于 lattice  Diamond 与 modelsim 的联合仿真,我总结了一句话,那就是—— 难者不会,会者不难.  也许刚开始 觉得 摸不着 头脑,但是 一旦学会 感觉还是很简单和直观的. ...

随机推荐

  1. 配置squid代理服务

    1. 简述一下squid的用途?squid可以做代理和缓存服务器,而做代理时,可以分为正向代理和反向代理.正向代理用在企业办公环境中,企业员工上网通过代理来上网,代理的缓存功能可以为企业节省宝贵的带宽 ...

  2. 【socket.io研究】1.官网的一些相关说明,概述

    socket.io是什么? 官网的解释是一个实时的,基于事件的通讯框架,可以再各个平台上运行,关注于效率和速度. 在javascript,ios,android,java中都实现了,可以很好的实现实时 ...

  3. 武汉科技大学ACM :1003: 零起点学算法67——统计字母数字等个数

    Problem Description 输入一串字符,统计这串字符里的字母个数,数字个数,空格字数以及其他字符(最多不超过100个字符) Input 多组测试数据,每行一组 Output 每组输出一行 ...

  4. Heroku使用

    先要生成一个公钥,使用命令:$ ssh-keygen -t rsaGenerating public/private rsa key pair.Enter file in which to save ...

  5. RxJava 与 Retrofit 结合的最佳实践

    转自:http://gank.io/post/56e80c2c677659311bed9841?from=timeline&isappinstalled=0&nsukey=g1D1Y6 ...

  6. jQuery插件实现select下拉框左右选择_交换内容(multiselect2side)

    效果图: 使用jQuery插件---multiselect2side做法: <!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitio ...

  7. css 单位 px em rem

    http://www.cnblogs.com/leejersey/p/3662612.html

  8. 在PHP中开启CURL扩展,使其支持curl()函数

    在用PHP开发CMS的时候,要用到PHP的curl函数,默认状态下,这个函数需要开启CURL扩展,有主机使用权的,可通过PHP.ini文件开启本扩展,方法如下: 1.打开php.ini,定位到;ext ...

  9. GetMemory 函数解析

    GetMemory函数 代码1: void GetMemory(char *p){ p = (char*)malloc(100);}int main(int argc, char *argv[]){ ...

  10. DataTables自定义事件

    $(document).ready(function() { var eventFired = function(type) { var n = $('#demo_info')[0]; n.inner ...