图: 在对设计的芯片进行测试时,经常要用到FPGA,可是里面的仿真工具却不如Modelsim那么好用,且在规模比较大时,ISE在仿真时,软件经常会报告内存限制的问题,此时一般会切换到Modelsim软件中去做仿真,这样便不会出现内存限制的问题,且仿真器也更加好用. 下面以综合后仿真为例,讲一下如何用ModelSim对Xilinx ISE综合后产生的网表进行仿真. 在用Xilinx ISE综合后,如果想用Modelsim对它综合后产生的网表进行综合后仿真,总共需要3个*.v文件.一个是testbe…
在ModelSim中添加Xilinx ISE仿真库 说明: l ModelSim一定要安装在不带空格的目录下,即不要安装在“Program Files”目录下.如作者是安装在D:\softwares\Modelsim目录下. l ISE软件也最好安装在不带空格的目录下. 1.找到开始菜单->程序->Xilinx ISE Design Suite 11 -> ISE -> Accessories -> Simulation Library Compilation Wizard.…
图: 在对设计的芯片进行测试时,经常要用到FPGA,可是里面的仿真工具却不如Modelsim那么好用,且在规模比较大时,ISE在仿真时,软件经常会报告内存限制的问题,此时一般会切换到Modelsim软件中去做仿真,这样便不会出现内存限制的问题,且仿真器也更加好用.这里介绍一下Xilinx ISE如何调用Modelsim进行联合仿真. 首先需要用Xilinx ISE里面的工具Simulation Library Compilation Wizard来产生仿真库文件.开始--所有程序--Xilinx…
以前在用modelsim对Xilinx进行器件库编译时,我用的比较多的是直接在ISE中编译器件库,感觉很方便简单,就是编译时间有点长.自从前段时间,在自己电脑装MathType,360杀毒软件将它视为木马.我以为是360误报,直接将360安全卫士关闭了.后来,电脑上的软件一个接一个的出现问题,说是软件的某个文件被感染了.最后只能被迫重新装系统,装完后立刻装上ISE14.7,想着modelsim编译Xilinx器件库时间太久了,就不装了,先用ISE14.7自带的仿真工具ISIM.用了几天的ISIM…
由 xooo 于 星期五, 09/18/2015 - 15:35 发表 以前在用modelsim对Xilinx进行器件库编译时,我用的比较多的是直接在ISE中编译器件库,感觉很方便简单,就是编译时间有点长.自从前段时间,在自己电脑装MathType,360杀毒软件将它视为木马.我以为是360误报,直接将360安全卫士关闭了.后来,电脑上的软件一个接一个的出现问题,说是软件的某个文件被感染了.最后只能被迫重新装系统,装完后立刻装上ISE14.7,想着modelsim编译Xilinx器件库时间太久了…
Modelsim,可以选型SE和XE两个版本.Modelsim XE可以直接被ISE调用,而Modelsim SE需要手动添加仿真库.但SE版和OEM版在功能和性能方面有较大差别,比如对于大家都关心的仿真速度问题,以Xilinx公司提供的OEM版本ModelSim XE为例,对于代码少于40000行的设计,ModelSim SE 比ModelSim XE要快10倍:对于代码超过40000行的设计,ModelSim SE要比ModelSim XE快近40倍.所以我还是选择了Modelsim SE,…
生命在于折腾. 这个帖子作为我安装xilinx ISE 14.7版本一个记录.希望给需要的人一些帮助,这些内容绝大部分也是来源于互联网. 软硬件: lsb_release -a No LSB modules are available. Distributor ID: Ubuntu Description: Ubuntu Trusty Tahr (development branch) Release: 14.04 Codename: trusty uname -a 3.12.0-5-gener…
reference:https://www.cnblogs.com/tracyone/p/3561781.html 按照ISE后,建立ISE启动连接: 这个帖子作为我安装xilinx ISE 14.7版本一个记录.希望给需要的人一些帮助,这些内容绝大部分也是来源于互联网. 软硬件:  lsb_release -a No LSB modules are available. Distributor ID: Ubuntu Description: Ubuntu Trusty Tahr (develo…
1.创建完项目(以Xilinx ISE Design Suite 14.7开发流程的例子    led例子   为例),编译通过,我们就可以对这个项目进行仿真: 2.然后切换到simulation,然后创建仿真文件 3.实例化led模块 4.确证是否正确然后finish 5.之后可以看到测试文本已经帮我们写好了,我们只需编写初始化代码就好了(初始化代码可以根据自己的输入编写,输出就不要去初始化了,这里我的例子没有输入,因此我就不编写初始化代码了) 7.仿真的结果(会自动弹出ISim工具的),观察…
在Xilinx ISE中使用Synplify pro进行综合时,有时出现无法将synplicity.ucf添加进工程的问题.这时可以在其它目录下备份synplicity.ucf, 然后使用clean up project, 再将该文件移到综合路径下,就可以正常添加了.如此,可以自动将综合的时间约束用于布局布线.此外,也可以采用用户命名的工程文件作为约束,如design.ucf.…
一是安装.可以在Windows 10下安装Xilinx ISE 14.7. 详见:https://www.eevblog.com/forum/xilinx/guide-getting-xilinx-ise-to-work-with-windows-8-64-bit/?action=printpage 二是运行.有的安装方法在Windows 64位下使用ISE 32 位.在最后下载到器件时会报错.因此,需要运行和操作系统一致的ISE版本下载. 详见:https://www.xilinx.com/s…
在Xilinx ISE中使用Synopsys Synplify 综合比较方便,但有时会出现如下错误: "ERROR:NgdBuild: - logical block ' ' with type ' ' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc f…
在Xilinx ISE中生成ROM时,需要指定.coe文件.需要做到两件事.其一,要使用memory_initialization_radix= ; memory_initializatoin_vector= ; 其二,在修改.coe文件之后,必须重新上传,在上传时需要重新选择.否则,即使.coe文件保持原来的文件名,ISE也不会更新数据.重新选择十分重要.…
这两天做综合后仿真,发现FPGA器件库又不会加了,无奈上网找方法.说起来不好意思,很早就接触Modelsim这个仿真软件了,可是没有好好琢磨.把这两天找的方法贴出来,再加上自己的理解,以后忘了可以上博客翻翻,也适合新手看.(这个办法是quartusII综合完后启动Modelsim仿真综合后的网表,要是quartusII里直接启动Modelsim需不需要加库不清楚,我没试过) -----------------------------------转载分割线---------------------…
ISE中,右击“Synthesize”,选中“Process Properties”,将“Xilinx Specific Options:-iobuf”的对勾取消. 将取消模块的ioBuff,因为模块将作为其他模块的内部模块使用. 如下图所示: 注:应用时,需要为每个模块建立.v或者.vhl的blackbox文件. 在工程中将ngc和blackbox文件一起加入即可. 同时需要在“Implemente Design”中设置“Macro Search Path”属性,如下图.…
FPGA设计中的约束文件有3类:用户设计文件(.UCF文件).网表约束文件(.NCF文件)以及物理约束文件(.PCF文件),可以完成时序约束.管 脚约束以及区域约束.3类约束文件的关系为:用户在设计输入阶段编写UCF文件,然后UCF文件和设计综合后生成NCF文件,最后再经过实现后生成PCF 文件.UCF文件是ASC 2码文件,描述了逻辑设计的约束,可以用文本编辑器和Xilinx约束文件编辑器进行编辑.NCF约束文件的语法和UCF文件相同,二者的区别在于: UCF文件由用户输入,NCF文件由综合工…
http://www.121down.com/article/article_13651.html 坑爹的ISE对win8无法完美支持(包括目前最新的14.6),在使用64位ISE时点击OPEN之类的东西时程序都会崩溃,虽然使用32位不会有这个问题,但是工程的默认打开方式不能改为32位. 因此想要正常(伪)使用64位可以有如下临时解决办法 找到程序安装路径下的这两个文件夹 X:\Xilinx\14.6\ISE_DS\ISE\lib\nt64X:\Xilinx\14.6\ISE_DS\common…
1.用ISE仿真的时候.所用变量一定要初始化. ISE默认初始量为"XXXXX", 而Quarters是默认为"00000"的, 其实实际上, 下到FPGA里后也是默认为0的,只是可以说ISE严谨得令人DT吧.比如说用一个累加器, result = A+B+result ,必须保证在某一刻A, B, result都为定值时, 之后的数据才不会一直为"XXXXX"; 2.所有的中间线(就是module间用来传递参数的信号)都要用wire定义一下.…
使用Synplify Pro加时序约束.综合完毕后,可以在ISE中进行布局.布线.需要用.ucf文件指定布局布线的时钟约束.前者可以比后者小. 早期的ISE,两个约束可以继承.现在用的高版本,反而需要分别指定,否则会忽略掉.…
http://wenku.baidu.com/link?url=jxtsPLGUlWwYuD8TtfWYYU_NhY5Qty3rx8ZDLCkINLe39JRGb90V5HoJhnkn9r_PQ6vZj_iJm2LoYMpTjhq_3PIVy6vyK24GpFuUGCdJgZG…
<一>创建工程 创建工程在此略过. <二>基本代码 1.创建一个Verilog modual代码如下: module main( input clk, input rsta, input wea, : ] addra, : ] dina, : ] douta, input rstb, input web, : ] addrb, : ] dinb, : ] doutb ); device1 mydevice( .clka(clk), .rsta(rsta), .wea(wea), .…
<一>建立如下的Verilog Module module myClock( input clock ); endmodule <二>建立 Verilog Test Fixture module test; // Inputs reg clock; // Instantiate the Unit Under Test (UUT) myClock uut (   .clock(clock)  ); initial begin // Initialize Inputs clock =…
<一>建立一个项目readDataFromRom 详细过程参照另一篇文章 http://www.cnblogs.com/LCCRNblog/p/3397666.html <二>生成一个IP内核 1.右键点击项目——>New Source——>IP (CORE Generator & Architecture Wizard) 我取名为myRom: 点击next 2.Memories & Storage Elements——>RAMs & RO…
http://www.youtube.com/watch?v=ttPbEcNjdo8 It can work successfully!…
今天发现,不同的器件型号下由IP core生成的模块有时不通用,在实现(implementation)时会出现translate错误.…
移位寄存器实现Verilog代码: `timescale 1ns / 1ps module add( input clk, input reset, input [1:0] s, input dl, input dr, input [3:0] d, output reg [3:0] q ); always @(posedge clk or negedge reset) if (~reset) begin q <= 4'b0000; end else begin case(s) 2'b00: q…
最近在玩Altera的FPGA,当我用Quartus II自带的IP核生成ROM时,出现了各种问题,于是在网上各种查资料,终于解决了我的问题.这里做一下小结,方便自己日后查阅. Quartus II 和ISE在仿真和初始化时有些些区别,这里简要介绍一下二者的初始化和仿真步骤:1.用Quartus II创建并仿真ROM Step1:在Quatus II工程下生成一个ROM Step2:编写.mif文件,作为ROM的初始化文件 Step3:将.mif文件拷贝到Modelsim工程下 Step4:进行…
Vivado中的MIG已经集成了modelsim仿真环境,是不是所有IP 都有这个福利呢,不知道哦,没空去验证. 第一步:使用vivado中的MIG IP生成一堆东西 ,这个过程自己百度.或者是ug586有step by step 的,so easy. 生成之后是这样子的 第二步:在modelsim中增加xilinx库 编译库  tool--> compile simulation libraries 选择modelsim,我只会verilog所以只选择了这个. comiled library…
HTML: <tr> <th> <label for="textfield"><span class="red">*</span>收货地址:</label> </th> <td> <select name="data[province]" id="province2" onchange="getRegion(this…
不自定义表名的,网上有现成的,但如果自定义之后,则要变通一下了. app_insert = App.objects.get(name=app_name) site_insert = Site.objects.get(name=form.cleaned_data['site_name']) site_insert.app_name.add(app_insert) 正常的如下: a = Author.objects.get(id=1) b = Book.objects.get(id=50) b.au…