Altera: set pin locations using tcl】的更多相关文章

1, compile the project; 2, store current tcl settings: Project –> Generate Tcl File from Project- 3, modify the generated tcl file. Add pin assignment in section like "# Make assignments": The format is: set_location_assignment PIN_xx –to <…
最近用Quartus 15.0配合Modelsim SE 10.4的64位版本,简直就是闪电一般的仿真速度.但是众所周知,SE版本最大的问题就是每次由Quartus自动调用时,都要重新编译所使用的器件库,特别是使用Stratix等含有收发器.PCIE硬核等单元时,仿真库编译时间实在太长.为了避免这个问题,一个方法就是采用Modelsim Altera版本,其含有已经编译好的Altera器件库,但是存在软件需要破解和仿真速度慢的问题.最近研究了很久,终于完美地解决了这个问题. 需要的工具:Quar…
Last :: from 139.199.180.186 [root@VM_219_131_centos ~]# yum install curl-devel expat-devel gettext-devel openssl-devel zlib-devel Loaded plugins: fastestmirror, security Setting up Install Process Loading mirror speeds from cached hostfile Package o…
Gerrit代码审计系统实战-Gerrit 2.15.14版本快速搭建  作者:尹正杰 版权声明:原创作品,谢绝转载!否则将追究法律责任. 一.Gerrit版本选择 1>.查看Gerrit官网 Gerrit官方地址:https://www.gerritcodereview.com/ 2>.查看Gerrit帮助文档 官方地址:https://www.gerritcodereview.com/project-configuration.html 3>.Gerrit版本的选择 虽说主流的Ger…
最近END china上的大神阿昏豆发表了博文 <FPGA研发之道(25)-管脚>,刚好今天拿到了新书<深入理解Altera FPGA应用设计>第一章开篇就讲pin.这里就两者的知识做一个整理.至于cyclone IV器件的I/O特性笔记博文后续会补上. 上一篇Altera FPGA中的pin简介已经对altera FPGA中的pin做了一个简要的全面说明,下面就做一些深入的了解 1,一般来说,DDR的接口信号最好能在一个BANK上约束,如果不能则其控制信号要约束到同一BANK上,…
第一步要看的肯定是pin planner ,这个是黑金四代EP4CE15F17C8的视图 先就是发现他们pin有不同的颜色区域,分别对应不同的bank,应该是有的设计里面要求pin在同一个bank吧(首先这么猜想,后面再验证),再看不同的圈圈三角形代表什么意思 View--> Pin Legend 图中几个棕色背景的pin就是使用过得,鼠标放到pin上就会自动显示 pin name 再后面就是all pin list 窗口 此窗口中可以指定pin位置,电压,电流等一系列信息,每一个bank只有一…
1,GUI方式 大家都知道的,assignment editor –> category –> logic options –> to –> virtual pin –> on; 2,tcl脚本方式 基本架构参考以下链接:https://www.intel.com/content/www/us/en/programmable/support/support-resources/design-examples/design-software/tcl/all_virtual_pi…
在chip planner 中 ,看管脚时,会看到 pin 和pad 同时出现, 如 pin120/pad174 Bank 4 那么有什么区别? PIN指芯片封装好后的管脚,即用户看到的管脚: PAD是硅片的管脚,是封装在芯片内部的,用户看不到. PAD到PIN之间还有一段导线连接的. PAD也指输入输出的缓冲/存器单元. 进一步在 Resource Property Editor 中看到 PAD 如下 当此pin 是输入时,信号流向从 PIN -PAD -PADOUT - input buff…
例子:https://www.altera.com/support/support-resources/design-examples/intellectual-property/embedded/nios-ii/exm-accelerated-fir.html #board_class=altera_nios_dev_board_cyclone_3c120_DB # Copyright (C) 1991-2008 Altera Corporation # Your use of Altera…
在QII中的Assignments----Device----Device and pin option-----(选项卡)Dual purpose pin将nCE0 的设置改为: use as regular IO 1.『Error:Can't place pins assigned to pin location Pin_AD25 (IOC_X95_Y2_N1)』錯誤訊息的意義. 2. 什麼是Dual-Purpose Pin? 3.什麼是nCEO? 4.如何使用Quartus II GUI將…
浅谈用ModelSim+Synplify+Quartus来实现Altera FPGA的仿真 工作内容: Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器.它采用直接优化的编译技术.Tcl/Tk技术.和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件. Synplify.S…
各厂商综合工具,对HDL综合时都定义了一些综合属性这些属性可指定a declaration,a module item,a statement, or a port connection 不同的综合方式. 语法为: /* synthesis, <any_company_specific_attribute = value_or_optional_value */ 下面就是Altera的几个常用的Synthesis attributes Noprune A Verilog HDL synthesi…
转载:http://tigerwang202.blogbus.com/logs/35981280.html 其他很好的资料:http://bbs.ednchina.com/BLOG_ARTICLE_1740903.HTM 论文:http://wenku.baidu.com/view/0c23a7d73186bceb19e8bbe2.html 这里提供一个采用MAX II芯片的USB Blaster制作方案.官方版本采用的是MAX3128.它是Altera早期的CPLD价格较高,设计采用EPM24…
最近在做altera FPGA BGA相关的布线工作,收集了一些资料,公开出来以供大家讨论. 首先是器件引脚,只有弄清楚器件各个引脚的功能才能够进行布线,下面的文档详细描述了每个引脚的功能. 各引脚功能也一定要搞清楚,详情参阅以下文档 接下来是altera官方关于BGA布线应用手册 对应的中文版本 xilinx应用文档,也有一定的参考意义 最为直观的还是altera给出的geber文件,f256封装的可以在http://pan.baidu.com/share/link?shareid=37693…
本文如果有错,欢迎留言更正:此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 本文将描述在Design Compliler中常用到的命令,这些命令按照流程的顺序进行嵌套讲解,主要是列举例子:大概的讲解布局如下所示: 大概有11个部分,下面我们逐个部分进行(简单的)介绍的举例. 1.tcl的命令和结构 tcl的命令和结构请参照第二节的内容: http://www.cnblogs.com/IClearner/p/6617207.…
IP核生成 Quartus生成DDR2 ip流程如下: 点击菜单栏的Tools->MegaWizard Plug-In Manager,弹出  选择IP类型,保持路径即文件名等,如下图  点击next,按下图设置:输入时钟50Mhz,DDR驱动时钟150Mhz,Full rate模式,位宽32Bit,器件选择MT47H64M16,与所使用的FPGA开发板一致.  点next,选择默认即可  依旧默认  设置MaxBurstCount为64,  选择产生仿真模型  最后点击finish完成  等待…
#=============================================================== #Analysi&Synthesis execute_module -tool map #=============================================================== #Fitter(Place&Route) execute_module -tool fit #==========================…
对于比较高级的ip核,altera一般都会提供仿真案例,网上有关于这个IP核的各种仿真方法,但都比较繁琐,前几日,朋友跟我分享了一个比较快速高效的仿真方法,这个方法也是他摸索折腾了一段时间才总结出来的,在此,也谢谢他这种毫无保留的分享奉献精神,估计此套方法可以针对Altera的大多数复杂ip核仿真. 新建工程,生成ip核的步骤我就省略了,直接进入ip核调用仿真部分. 在生成的工程里找到“testbench”文件夹,里面有一个README.txt,打开按照里面的步骤操作即可. 根据上面的步骤,首先…
各厂商综合工具,对HDL综合时都定义了一些综合属性这些属性可指定a declaration,a module item,a statement, or a port connection 不同的综合方式. 语法为: /* synthesis, <any_company_specific_attribute = value_or_optional_value */ 下面就是Altera的几个常用的Synthesis attributes Noprune A Verilog HDL synthesi…
Altera SOPC嵌入式系统设计教程 第1章 概述 SOPC(System On Programmable Chip,可编程的片上系统)是Altera公司提出来的一种灵活.高效的SOC解决方案.它将处理器.存储器.I/O口.LVDS.CDR等系统设计需要的功能模块集成到一个可编程器件上,构成一个可编程的片上系统. 1.1 SOPC及其技术 现今,可将SOPC视为是基于FPGA解决方案的SOC.与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,也有多种途径可构成SOPC方…
简介 快速傅里叶变换(Fast Fourier Transform)最为一种高效的算法,被广泛的用于信号处理与数据分析等领域.对于设计工程师来讲,自己动手采样可编程语言来实现一个FFT/IFFT模块,不知要花费多少心血.所幸的是Altera和Xilinx两大巨头都提供了自己FFT核,本文将详细讲解如何使用Altera的FFT核. Altera FFT核 FFT IP core的总体架构分析:FFT分为fixed transform size architectture 和 variable st…
在做在线远程升级的时候,一般需要两步:1.将数据写到外挂的flash中.2重新启动FPGA配置. 不过要做到远程升级,一般需要在原始程序中就考虑到加入远程升级模块,remote updata IP, 在升级的时候才可以启动远程升级功能. 远程升级之后程序加载的过程: 在进行远程升级成功以后,flash中应该会至少存储两个程序,出厂程序和升级程序. 两个程序在不同的地址空间中(出厂程序从0X0000开始存储).在FPGA上电之后,FPGA会加载出厂程序,出厂程序在运行之后,检测到remote up…
Tcl internal variables eryar@163.com 在Tcl中内置了一些变量,并赋予了一定的功能.内置变量列表如下: 变量名称 功能描述 argc 指命令行参数的个数. argv 指包含命令行参数的列表. argv0 是指被解释的文件或由调用脚本的名称的文件名. env 用于表示是环境变量数组元素. errorCode 为最后的Tcl错误的错误代码. errorInfo 为最后Tcl错误的堆栈跟踪信息. tcl_interactive 分别将其设置为1和0交互和非交互模式之…
调用altera IP核的仿真流程—下 编译 在 WorkSpace 窗口的 counter_tst.v上点击右键,如果选择Compile selected 则编译选中的文件,Compile All是编译所有文件,这里选择 Compile->Compile All,如下图所示: 在脚本窗口中将出现一行绿色字体 # Compile of altera_mf.v was successful. # Compile of counter.v was successful. # Compile of r…
调用altera IP核的仿真流程—上 在学习本节内容之后,请详细阅读<基于modelsim-SE的简单仿真流程>,因为本节是基于<基于modelsim-SE的简单仿真流程>的基础上进行设计的,关于设计仿真流程的过程所涉及到的重复内容将不再详述,将会一笔带过,如果深入学习了<基于modelsim-SE的简单仿真流程>这一小节,则下面的内容将会非常的简单. 编写RTL功能代码 本小节通过调用altera的ROM宏功能模块,FPGA的ROM模块主要用于存储数据,可以在上电的…
Tcl comand Tcl Commands all_clocks all_inputs all_outputs all_registers create_clock create_generated_clock derive_clocks get_cells get_clocks get_nets get_pins get_ports remove_clock_groups remove_clock_latency remove_clock_uncertainty remove_disabl…
DDL Data Definition Language (DDL) statements are used to define the database structure or schema. Some examples: CREATE - to create objects in the database ALTER - alters the structure of the database DROP - delete objects from the database TRUNCATE…
linux tcl expect 安装 一.Tcl安装 1.  下载:tcl8.4.20-src.tar.gz http://www.tcl.tk/software/tcltk/downloadnow84.tml 2.解压缩源码包       tar xfvz tcl8.4.20-src.tar.gz 3.安装配置       cd tcl8.4.20/unix       ./configure --prefix=/usr/local/tcl --enable-shared       mak…
Tcl是一个比较简洁的脚本语言,官方地址 http://www.tcl.tk. tcl脚本加载C实现的动态库非常方便. 1. 为Tcl编写一个用C实现的扩展函数. #include <stdio.h> #include <stdlib.h> #include <string.h> #include <tcl.h> extern "C" { // extern for C++. int Myimpltcl_Init(Tcl_Interp *…

Tcl

Tcl(发音 tickle)是一种脚本语言.由John Ousterhout创建.TCL经常被用于快速原型开发 RAD.脚本编程.GUI编程和测试等方面. Expect Expect是 另外一种非常流行的 Tcl 扩展.早期,Expect 对 Tcl 在多种领域的流行居功甚伟,如在测试领域中,时至今日 Expect 依然被大量使用于 telnet.ssh 与串口会话的重复任务自动化,即对仅有终端交互接口的程序进行编程.Tcl 是运行 Expect 的唯一方式,因此 Tcl 在此类工业领域中十分流…