source code window: 提供了一个比较友好的界面,将整个设计的source code按设计的层次结构以树状排布,并且可以在代码上反标仿真结果,支持查找.寻找驱动等一些debug常用的操作 schematic window: 将设计原代码提取成电路图,有Hierarchy和Flatten两种方式显示,并且能够提取电路的一部分单独显示,或者是提取某个信号的输入/输出电路等,能够很方便的查勘信号.模块之间的电路关系,同样也可以反标仿真结果: waveform window: 可以载入v…
quit -sim set PATH1 D:/Program/modelsim/vivado_lib set PATH2 D:/Program/Vivado/Vivado/2014.4/data/verilog/src set PATH3 D:/Program/Vivado/Vivado/2014.4/data/verilog/src/xeclib vlib novas vmap novas novas vcom +acc -work novas novas.vhd vlib work vmap…
为了方便查看波形,找来了一款软件——debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件.而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多时间,但modelsim的编译仿真功能很强大.那何不把它们的优点结合起来呢?从这个目的出发,下面即将介绍modelsim与debussy联调环境的搭建. 首先是modelsim和debussy软件的安装.由于前面已介绍过modelsim的,故这里只介绍debussy软件的安装过程. 一.debuss…
前段时间看到网上有人在使用debussy软件对Verilog代码进行调试,而且都称赞其是多么的好用,看着很是馋人,说吧,现在用的是quartus与modelsim的联调,似乎还是可以的,但就是每次稍微改一下代码,想要添加一些输出信号的时候,又得改很多地方,而且仿真时间也越来越长,尤其是现在自己做的设计是越来越大,想着这个没接触过的debussy软件究竟怎么个好法,能不能解决我的这些问题呢?不管怎样,试一试就知道了,但每次安装软件的时候,就要破解啊什么的,找各种资料,很是麻烦,但是我的好奇心呢又迫…
引子:ModelSim是HDL仿真软件,Debussy是波形查看软件:搭配使用,相当爽.此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此.两款软件的功能都很强大,请自行研究. 注:本篇博文的软件环境为:Debussy 5.3v9 + Modelsim SE 6.5 配置篇 1 安装.和谐软件.略. 2 拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32. 3 取消文件.…
http://wenku.baidu.com/view/8363d40003d8ce2f006623e9.html  另外一个博客 生成Xilinx库 先调用ISE的simulation library compilation wizard,用Modelsim编译xilinx库单元.编译好后我放在E:\Xilinx\13.4\ISE_DS\ISE\sim_lib目录下,同时有一个modelsim.ini文件,指定library: secureip = E:\Xilinx\13.4\ISE_DS\…
sim.do文件 quit -sim set PATH1 D:/Program/modelsim/vivado_lib set PATH2 D:/Program/Vivado/Vivado/2014.4/data/verilog/src vlib work vmap work $PATH1/simprims_ver vlog $PATH2/glbl.v vlog +acc -work work -f ../src/verilog.f ##vcom +acc -work work -f ../sr…
VSIM生成fsdb波形文件(verilog) 两步主要的设置 testbench加入函数 运行库调用 1.testbench加入函数 initial begin $fsdbDumpfile("test.fsdb"); $fsdbDumpvars; end 2.运行库调用 运行环境设置.bashrc 设置 LD_LIBRARY_PATH=${LD_LIBRARY_PATH}:${NOVAS_INST_DIR}/share/PLI/lib/${PLATFORM} 如我的设置为 LD_LI…
http://www.lingcc.com/2012/05/16/12048/ a list of compiler books — 汗牛充栋的编译器参考资料 Posted on 2012年5月16日 by Lingcc | 14 Replies 前不久,有位<编译点滴>网友询问编译器方向的参考资料.其实之前讨论过一些编译器相关的在线资料–<有写编译器的冲动?这些资料很重要>.这篇博文就来总结总结编译技术相关的各类图书资料,供各位参考.这个书列是结合本人所了解的内容整理出来的,限于…
相比其它开发环境,VS的好用就不用多说了,尽管VS很人性化,但是针对具体的我们想实现的功能时,会力不从心,也许会有很多现成的插件,但是作为一名程序员,我还是喜欢自己去写一些东西,因为这样能随心所欲的想做什么就做什么. 开始做事之前,我们不得不做很多的准备工作,比如说VS sp1我们得安装,理解vs插件有哪些,我们也都查不少文章,看很多哪些令人讨厌的msdn, 这些都不重要,重要的是,结果我们总会做出一个vs插件,哪些只是在磨刀而已.为什么我们会选VSPackge插件,而不是宏命令,或者addin…
(转载)http://wzan315.blog.163.com/blog/static/37192636201241732045299/ Mysqli.dll是一个允许以对象的方式或者过程操作数据库的,它的使用方式也很容易.这里就几个常见的操作和mysql.dll做一个对比. 1:mysql.dll(可以理解为函数式的方式): $conn = mysql_connect('localhost', 'user', 'password'); //连接mysql数据库 mysql_select_db(…
前一个博客,介绍了依赖属性的基本定义,在定义的过程中register中的的两个参数,并没有传入参数,不知道其是用来干什么的,以下,我们将介绍这两个参数的真正用途FrameworkPropertyMetadata和ValidateValueCallback. 1.FrameworkPropertyMetadata 参数 FrameworkPropertyMetadata是一个重要的参数,其用于配置当前的依赖属性的特性,这个对象的有很多构造函数,我们使用一个比较常用的构造函数 public Fram…
一.hashCode()的作用 哈希表这个数据结构想必大多数人都不陌生,而且在很多地方都会利用到hash表来提高查找效率.在Java的Object类中有一个方法: public native int hashCode(); 根据这个方法的声明可知,该方法返回一个int类型的数值,并且是本地方法,因此在Object类中并没有给出具体的实现.为何Object类需要这样一个方法?它有什么作用呢? 不妨举个例子: 假设内存中有0 1 2 3 4 5 6 7 8这8个位置,如果我有个字段叫做ID,那么我要…
RecyclerView这个控件出来已经有一段时间了,如果看这篇文章的你,还没有使用过这个控件.那请先去学习怎样使用.不然看也白看.这里奉上一些关于介绍RecyclerView使用方法的优秀博客: 鸿洋大神的 Android RecyclerView 使用完全解析 体验艺术般的控件这篇文章详细介绍了RecyclerView的基本使用方法,没有用过的照着敲一遍应该就算是入门了. 鸿洋大神的 为RecyclerView打造通用Adapter 让RecyclerView更加好用这篇是鸿洋大神对Recy…
我们chrome插件网热门推荐的软件之一就是postman.但是postman的适应平台分为:postman chrome应用程序,postman应用程序,postman插件.谷歌应用商店从2018年3月开始停止chrome应用程序的更新.除非继续使用老版本的postman chrome应用程序,大家可以更多的去选择postman应用程序(Postman for Win64(V6.0.10)),而大家常说的postman插件其实是Postman Interceptor.当然我相信大家应该比较清晰…
1:postman是干什么的? Postman官网上这么介绍的:“Modern software is built on APIs,Postman helps you develop APIs faster”.软件是基于api的,而postman可以帮助你测试api.也就是说postman可以帮助你成功进行接口测试.Postman 提供给我们一个强大的 Web API 和 HTTP 请求的调试,它能够发送任何类型的HTTP 请求 (GET, POST, PUT, DELETE...),并且能附带…
学习Java 的同学就一定会学习Maven, 那么Maven为什么会得到大量用户的使用呢?它是用来干什么的呢 ?接下来就来介绍 Q:Maven的作用? (1)Maven可以自动化构建项目,可以从清理.编译.测试到生成报告.打包.部署等等功能都可以自动化完成,将我们从繁琐的工作中解脱出来 (2)解决项目的依赖繁杂以及版本不一致的问题 (3)Maven管理了项目中零散的信息,比如项目描述等,还能轻松获得项目文档.测试报告等等 (4)可以将分模块的项目更好地管理起来(Maven的继承和聚集) Q: M…
『转载』Debussy快速上手(Verdi相似) Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code.schematic diagram.waveform.state bubble diagram之间,即时做trace,协助工程师debug. 可能您会觉的:只要有simulator如ModelSim就可以做debug了,我何必再学这…
verdi\debussy的使用技巧 转载from 大西瓜FPGA 大西瓜FPGA-->https://daxiguafpga.taobao.com fsdb display Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化. 如何在modelsim里面产生.fsdb文件呢?可以在testbench文件…
引用:http://blog.csdn.net/naclkcl9/article/details/5425936 1. verdi 加强了active anotation, active trace和trace this value的能力,并且引入了Temperal flow view.在trace X的时侯面对很多选项,推举的快速定位的方法是: 1) 找出来自于信号源的明显的错误,譬如(uninitialize,setup, hold time voilation, no drivers) •…
HDL Source文件的编译 针对Verilog文件的编译: 使用vericom工具,将verilog source文件写入一个run.f中,如: system.v pram.v TopModule.v 对于include的文件,通过+incdir+引入文件夹. -v +文件名,表示lib的design. invoke方式:vericom -lib <libname> -f run.f 如果verilog source文件中,包含2001的一些结构,需要加-2001 option 可以选择加…
1.概述 Debussy是NOVAS Software, Inc ( 思源科技 )发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code.schematic diagram.waveform.state bubble diagram之间,即时做trace,协助工程师debug. 之前使用MoselSim进行仿真并进行验证,MoselSim中查看波形进行调试已经很方便,详见另一篇博文“ModelSim之T…
Perl自动释放Licence启动Verdi 在工作中,遇到verdi的License不够的情况,某些人占用了多个License,为及时获得一个可用的License,写了一个perl来kill运行时间最长的进程. 主要功能 在perl中,通过(lmstat -a)或者(ps -ef)得到verdi运行情况 得到verdi分配情况 得到verdi占用最多的用户的使用信息 活动该用户使用最长的verdi进程号 具体执行情况 方法一:推荐 方法二: Perl代码 方法一:推荐 #!/usr/bin/p…
Modelsim脚本自动仿真 1.创建文件 run.do,"#"为注释符号 quit -sim #退出上次仿真 .main clear #清除上次仿真所有文件以及打印信息 vlib work.veloce #创建veloce的工作环境 #------------------------------------------------------------------------------------------------- vlog ./tb_XXXXXXX.v #testben…
share到底干什么的 //--------------------打开GameServer,share中加载------------------------- .加载nBodyID //玩家的nBodyID表([职业][性别][nboduid]) std::vector<std::vector<int> > m_nbodyid_table; if(!load_nbodyid()) Plug::PlugMessageBox("加载nBodyID表失败啊!");…
本文章转载:http://blog.sina.com.cn/s/blog_4c900d100102uysb.html 1.问题: 无线路由器的“克隆MAC地址”是干什么作用的?怎样使用? 2.使用背景: 个别地区的宽带运营商(联通公司.电信公司等)默认的是:一个宽带帐号只允许你一台电脑上网,并暗中把你电脑的MAC地址(实际上是把你电脑网卡的MAC地址)记录保存到他们的服务器中,并与宽带帐号捆绑在了一起. 3.发生原因: 如果你发生了下列情况:更换了电脑的网卡,或者是更换了电脑(实质也是更换了网卡…
告诉你一个真实的OpenStack:都谁在用,用来干什么? OpenStack基金会近日发布的双年调查报告显示,开源云计算软件OpenStack正在进入主流企业市场,但该项目依然面临较难部署和管理的老问题. 有一点是毫无疑问的,那就是OpenStack保持着高速增长的态势,超过585家企业,接近4万人通过各种方式支持着这个超过2000万行的开源项目的持续发展. 图片:OpenStack 以下是IT经理网整理的报告中的一些数据亮点,方便大家快速了解OpenStack的发展现状: 一.企业规模分布广…
笔者每当遇到一个新事物的想去了解的时候,总是会问上自己第一个问题,这个新事物是干什么用的?在解释我所理解的REST这个过程中也不例外,这篇博客我们先关注一下REST是干什么用的,然后后续再解释REST是什么.好了,不说废话了,在上篇结尾处说到,REST是Web的架构风格,暂且不管REST和Web,我们先看看架构风格是什么东西? 1. 什么是架构风格? 计算机科学中,架构(Architecture)一词来源于建筑学,我相信大家在不少资料上都见过这样的解.那么同样的,架构风格(Arvhitectur…
衔接上文[解读REST] 1.REST的起源,介绍了REST的诞生背景.每当笔者遇到一个新事物的想去了解的时候,总是会问上自己第一个问题,这个新事物是干什么用的?在解释我所理解的REST这个过程中也不例外,这篇博客我们先关注一下REST是干什么用的,然后后续再解释REST是什么.好了,不说废话了,在上篇结尾处说到,REST是Web的架构风格,暂且不管REST和Web,我们先看看架构风格是什么东西? 1. 什么是架构风格? 计算机科学中,架构(Architecture)一词来源于建筑学,我相信大家…
Verdi看波形的基本操作小结: 快捷键:(大写字母=Shift+小写) g    get, signlas添加信号,显示波形n    next, Search Forward选定信号按指定的值(上升沿,下降沿,both,指定Value)向前跳转N    与n功能相同,方向向后y    Keep Cursor at Centor(开关)移至中央并保持居中,再按取消固定居中c    color,调整所选信号的波形显示颜色,线的粗细和类型,非常方便Debugf    full, Zoom All波形…