数字集成电路设计-14-DPI
引言
在进行IC验证时,尤其是规模较大的时候,单纯用Verilog和SV来构建testbench。可能会稍显吃力。
在这样的情况下,使用C或者C++等软件语言往往会大大加快验证速度,提高验证效率。
PLI,VPI,DPI正是基于这个原因开发的,非常多仿真器都会提供支持。
之前,我们介绍过VPI的使用。本小结就来说一下更易使用的DPI。
1,基本功能
还是惯例,通过一个详细的实验来体会DPI的使用。
环境:IUS820(Ncsim)。
我们将使用C语言来分别实现一个加法器和一个乘法器。
当中加法器以task的形式实现,乘法器以function的形式实现。
须要注意的是,在实际的项目开发中。我们一般不建议在verilog或SV中直接调用用C语言实现的函数或者task,建议加一个verilog或者SV的wrapper,做一个隔离。利于维护和更新。
2,testbench
/*
* tb.v
* dpi test
* Rill
* 2014-09-28
*/ module tb; reg clk;
reg rst_n; reg enable;
reg [7:0] a;
reg [7:0] b;
wire [31:0] sum; reg [7:0] c;
reg [7:0] d;
reg [31:0] mul_cd; `include "mul.v" add add0
(
.clk (clk),
.rst_n (rst_n),
.enable (enable),
.a (a),
.b (b),
.sum (sum)
); always #1 clk = ~clk; integer loop; initial
begin
clk = 0;
rst_n = 0;
enable = 0; repeat(10) @(posedge clk);
rst_n = 1; repeat(10) @(posedge clk);
enable = 1;
for (loop=0;loop<10;loop=loop+1)
begin
@(posedge clk);
a = loop;
b = loop+1;
end repeat(3) @(posedge clk); @(posedge clk);
c = 2;
d = 2;
mul_cd = mul_dpi(c,d); $display("%dx%d=%d",c,d,mul_cd); $finish;
end endmodule
3,add.v
/*
* add.v
* dpi test (wraper)
* Rill
* 2014-09-28
*/ module add
(
input clk,
input rst_n,
input enable,
input [7:0] a,
input [7:0] b,
output [31:0] sum
); import "DPI-C" task add_dpi(
input int a,
input int b,
output int sum
); reg [31:0] sum_r; assign sum = sum_r; always @(posedge clk)
begin
if(~rst_n)
sum_r <= 32'b0;
else if(enable)
add_dpi(a,b,sum_r);
end endmodule
4。add_dpi.c
/*
* add_dpi.c
* dpi test
* Rill
* 2014-09-28
*/ #include <stdio.h> int add_dpi(int a,int b,int* sum)
{
*sum = a + b;
printf("C_code: %d+%d=%d\n",a,b,*sum);
return 0;
}
5,mul.v
/*
* mul.v
* dpi test (wraper)
* Rill
* 2014-09-28
*/ import "DPI-C" function int mul_dpi(
input int c,
input int d
); function [31:0] mul;
input [7:0] c;
input [7:0] d; mul=mul_dpi(c,d); endfunction //
6,mul_dpi.c
/*
* mul_dpi.c
* dpi test
* Rill
* 2014-09-28
*/ #include <stdio.h> int mul_dpi(int c,int d)
{
return (c * d);
}
7,脚本
#! /bin/bash #
# dpi.sh
# usage: ./dpi.sh c/w/r
# Rill create 2014-09-03
# TOP_MODULE=tb tcl_file=run.tcl CDS_INST_DIR=/home/openrisc/opt/edatools/IUS08.20 if [ $# != 1 ];then
echo "args must be c/w/r"
exit 0
fi if [ $1 == "c" ]; then
echo "compile lib..."
ncvlog -f ./vflist -sv -update -LINEDEBUG;
ncelab -delay_mode zero -access +rwc -timescale 1ns/10ps ${TOP_MODULE} echo "compile DPI lib..."
gcc -fPIC -shared -o libdpi.so add_dpi.c mul_dpi.c -I$CDS_INST_DIR/tools/inca/include
exit 0
fi if [ -e ${tcl_file} ];then
rm ${tcl_file} -f
fi
touch ${tcl_file} if [ $1 == "w" ];then
echo "open wave..."
echo "database -open waves -into waves.shm -default;" >> ${tcl_file}
echo "probe -shm -variable -all -depth all;" >> ${tcl_file}
echo "run" >> ${tcl_file}
echo "exit" >> ${tcl_file}
fi if [ $1 == "w" -o $1 == "r" ];then
echo "sim start..."
ncsim ${TOP_MODULE} -input ${tcl_file}
fi echo "$(date) sim done!"
8,vflist
//vflist
tb.v
add.v
9,验证结果
10,小结
相对于VCS,Ncsim对DPI的支持略微弱一点。可是从上面的实验能够看出。主要的功能都是支持的,上面的实验尽管简单,但已经包括了实际项目中的大部分情况。
enjoy!
11,參考文献
Ncsim安装路径下的dpiEnrNbk.pdf及其演示样例代码,
我已上传:
http://download.csdn.net/detail/rill_zhen/7990949
数字集成电路设计-14-DPI的更多相关文章
- 数字集成电路设计-8-一个简单sobel图像边缘检测加速器的设计,实现,仿真与综合
引言 图像视频处理等多媒体领域是FPGA应用的最主要的方面之一,边缘检测是图像处理和计算机视觉中的基本问题,所以也是最常用的,随着数据量的不断增加以及对实时性的要求,一般软件已经不能满足实际需要,这时 ...
- 13.SpringMVC和Spring集成(一) && 14.SpringMVC和Spring集成(二)
1.概念 Spring是一个开源框架,Spring是于2003 年兴起的一个轻量级的Java 开发框架,Spring致力于J2EE应用的各层的解决方案,Spring是企业应用开发的“一站式”选择,并贯 ...
- python数字图像处理(14):高级滤波
本文提供更多更强大的滤波方法,这些方法放在filters.rank子模块内. 这些方法需要用户自己设定滤波器的形状和大小,因此需要导入morphology模块来设定. 1.autolevel 这个词在 ...
- Spring4.2 集成ActiveMQ5.14
1:libs 2:web.xml <?xml version="1.0" encoding="UTF-8"?> <web-app versio ...
- VerilogHDL概述与数字IC设计流程学习笔记
一.HDL的概念和特征 HDL,Hard Discrimination Language的缩写,翻译过来就是硬件描述语言.那么什么是硬件描述语言呢?为什么不叫硬件设计语言呢?硬件描述语言,顾名思义就是 ...
- 数字IC设计工程师的知识结构
刚毕业的时候,我年少轻狂,以为自己已经可以独当一面,庙堂之上所学已经足以应付业界需要.然而在后来的工作过程中,我认识了很多牛人,也从他们身上学到了很多,从中总结了一个IC设计工程师需要具备的知识架构, ...
- 数字IC前后端设计中的时序收敛(六)--Max Fanout违反
本文转自:自己的微信公众号<数字集成电路设计及EDA教程>(二维码见博文底部) 里面主要讲解数字IC前端.后端.DFT.低功耗设计以及验证等相关知识,并且讲解了其中用到的各种EDA工具的教 ...
- 数字IC前后端设计中的时序收敛(五)--Max Transition违反的修复方法
本文转自:自己的微信公众号<数字集成电路设计及EDA教程> 里面主要讲解数字IC前端.后端.DFT.低功耗设计以及验证等相关知识,并且讲解了其中用到的各种EDA工具的教程. 考虑到微信公众 ...
- 数字IC前后端设计中的时序收敛(四)--Max Capacitance违反的修复方法
本文转自:自己的微信公众号<数字集成电路设计及EDA教程> 里面主要讲解数字IC前端.后端.DFT.低功耗设计以及验证等相关知识,并且讲解了其中用到的各种EDA工具的教程. 考虑到微信公众 ...
随机推荐
- 修改Nginx与Apache配置参数解决http状态码:413上传文件大小限制问题
一.修改Nginx上传文件大小限制 我们使用ngnix做web server的时候,nginx对上传文件的大小有限制,默认是1M. 当超过大小的时候会报413(too large)错误.这个时候我们要 ...
- DRP——JDBC中的Batch
在jdbc2.0里添加了批量处理的功能(batch),其同意将多个sql语句作为一个单元送至数据库去运行,这样做能够提高操作效率.在操作大量的数据时, ORM框架实现批量是非常慢的.我们能够使用jdb ...
- CSS经验分享:如何书写可维护的CSS代码01
转自:http://www.cnblogs.com/hxling/archive/2013/02/21/2920520.html 一.在样式表开头添加一个注释块,用以描述这个样式表的创建日期.创建者. ...
- java.sql.SQLException: Data truncation: Truncated incorrect DOUBLE value
mysql 报这个异常:java.sql.SQLException: Data truncation: Truncated incorrect DOUBLE value update 表名 set c ...
- 显示游戏FPS帧率的几种计算方式
FPSDisplay.cs using UnityEngine; using System.Collections; public class FPSDisplay : MonoBehaviour { ...
- 算法笔记_023:拓扑排序(Java)
目录 1 问题描述 2 解决方案 2.1 基于减治法实现 2.2 基于深度优先查找实现 1 问题描述 给定一个有向图,求取此图的拓扑排序序列. 那么,何为拓扑排序? 定义:将有向图中的顶点以线性方式进 ...
- 弹出式菜单css
#v_box { width: 700px; height: 610px; background: #fff; position: fixed; top: 50%; left: 50%; z-inde ...
- Java反射机制及Method.invoke详解
JAVA反射机制 JAVA反射机制是在运行状态中,对于任意一个类,都能够知道这个类的所有属性和方法:对于任意一个对象,都能够调用它的任意一个方法:这种动态获取的信息以及动态调用对象的方法的功能称为ja ...
- (一)maven之——maven基础及本地仓库的配置
一.初步了解maven Apache Maven是一个软件项目管理的综合工具.基于项目对象模型(POM)的概念,提供了帮助管理构建.文档.报告.依赖.发布等方法,Maven简化和标准化项目建设过程.处 ...
- IOS+openCV在Xcode的入门开发(转)
看这篇文章之前先看看这个地址:OpenCV iOS开发(一)——安装 昨天折腾了一天,终于搞定了openCV+IOS在Xcode下的环境并且实现一个基于霍夫算法的圆形识别程序.废话不多说,下面就是具体 ...