引言

在进行IC验证时,尤其是规模较大的时候,单纯用Verilog和SV来构建testbench。可能会稍显吃力。

在这样的情况下,使用C或者C++等软件语言往往会大大加快验证速度,提高验证效率。

PLI,VPI,DPI正是基于这个原因开发的,非常多仿真器都会提供支持。

之前,我们介绍过VPI的使用。本小结就来说一下更易使用的DPI。

1,基本功能

还是惯例,通过一个详细的实验来体会DPI的使用。

环境:IUS820(Ncsim)。

我们将使用C语言来分别实现一个加法器和一个乘法器。

当中加法器以task的形式实现,乘法器以function的形式实现。

须要注意的是,在实际的项目开发中。我们一般不建议在verilog或SV中直接调用用C语言实现的函数或者task,建议加一个verilog或者SV的wrapper,做一个隔离。利于维护和更新。

2,testbench

/*
* tb.v
* dpi test
* Rill
* 2014-09-28
*/ module tb; reg clk;
reg rst_n; reg enable;
reg [7:0] a;
reg [7:0] b;
wire [31:0] sum; reg [7:0] c;
reg [7:0] d;
reg [31:0] mul_cd; `include "mul.v" add add0
(
.clk (clk),
.rst_n (rst_n),
.enable (enable),
.a (a),
.b (b),
.sum (sum)
); always #1 clk = ~clk; integer loop; initial
begin
clk = 0;
rst_n = 0;
enable = 0; repeat(10) @(posedge clk);
rst_n = 1; repeat(10) @(posedge clk);
enable = 1;
for (loop=0;loop<10;loop=loop+1)
begin
@(posedge clk);
a = loop;
b = loop+1;
end repeat(3) @(posedge clk); @(posedge clk);
c = 2;
d = 2;
mul_cd = mul_dpi(c,d); $display("%dx%d=%d",c,d,mul_cd); $finish;
end endmodule

3,add.v

/*
* add.v
* dpi test (wraper)
* Rill
* 2014-09-28
*/ module add
(
input clk,
input rst_n,
input enable,
input [7:0] a,
input [7:0] b,
output [31:0] sum
); import "DPI-C" task add_dpi(
input int a,
input int b,
output int sum
); reg [31:0] sum_r; assign sum = sum_r; always @(posedge clk)
begin
if(~rst_n)
sum_r <= 32'b0;
else if(enable)
add_dpi(a,b,sum_r);
end endmodule

4。add_dpi.c

/*
* add_dpi.c
* dpi test
* Rill
* 2014-09-28
*/ #include <stdio.h> int add_dpi(int a,int b,int* sum)
{
*sum = a + b;
printf("C_code: %d+%d=%d\n",a,b,*sum);
return 0;
}

5,mul.v

/*
* mul.v
* dpi test (wraper)
* Rill
* 2014-09-28
*/ import "DPI-C" function int mul_dpi(
input int c,
input int d
); function [31:0] mul;
input [7:0] c;
input [7:0] d; mul=mul_dpi(c,d); endfunction //

6,mul_dpi.c

/*
* mul_dpi.c
* dpi test
* Rill
* 2014-09-28
*/ #include <stdio.h> int mul_dpi(int c,int d)
{
return (c * d);
}

7,脚本

#! /bin/bash

#
# dpi.sh
# usage: ./dpi.sh c/w/r
# Rill create 2014-09-03
# TOP_MODULE=tb tcl_file=run.tcl CDS_INST_DIR=/home/openrisc/opt/edatools/IUS08.20 if [ $# != 1 ];then
echo "args must be c/w/r"
exit 0
fi if [ $1 == "c" ]; then
echo "compile lib..."
ncvlog -f ./vflist -sv -update -LINEDEBUG;
ncelab -delay_mode zero -access +rwc -timescale 1ns/10ps ${TOP_MODULE} echo "compile DPI lib..."
gcc -fPIC -shared -o libdpi.so add_dpi.c mul_dpi.c -I$CDS_INST_DIR/tools/inca/include
exit 0
fi if [ -e ${tcl_file} ];then
rm ${tcl_file} -f
fi
touch ${tcl_file} if [ $1 == "w" ];then
echo "open wave..."
echo "database -open waves -into waves.shm -default;" >> ${tcl_file}
echo "probe -shm -variable -all -depth all;" >> ${tcl_file}
echo "run" >> ${tcl_file}
echo "exit" >> ${tcl_file}
fi if [ $1 == "w" -o $1 == "r" ];then
echo "sim start..."
ncsim ${TOP_MODULE} -input ${tcl_file}
fi echo "$(date) sim done!"

8,vflist

//vflist
tb.v
add.v

9,验证结果

10,小结

相对于VCS,Ncsim对DPI的支持略微弱一点。可是从上面的实验能够看出。主要的功能都是支持的,上面的实验尽管简单,但已经包括了实际项目中的大部分情况。

enjoy!

11,參考文献

Ncsim安装路径下的dpiEnrNbk.pdf及其演示样例代码,

我已上传:

http://download.csdn.net/detail/rill_zhen/7990949

数字集成电路设计-14-DPI的更多相关文章

  1. 数字集成电路设计-8-一个简单sobel图像边缘检测加速器的设计,实现,仿真与综合

    引言 图像视频处理等多媒体领域是FPGA应用的最主要的方面之一,边缘检测是图像处理和计算机视觉中的基本问题,所以也是最常用的,随着数据量的不断增加以及对实时性的要求,一般软件已经不能满足实际需要,这时 ...

  2. 13.SpringMVC和Spring集成(一) && 14.SpringMVC和Spring集成(二)

    1.概念 Spring是一个开源框架,Spring是于2003 年兴起的一个轻量级的Java 开发框架,Spring致力于J2EE应用的各层的解决方案,Spring是企业应用开发的“一站式”选择,并贯 ...

  3. python数字图像处理(14):高级滤波

    本文提供更多更强大的滤波方法,这些方法放在filters.rank子模块内. 这些方法需要用户自己设定滤波器的形状和大小,因此需要导入morphology模块来设定. 1.autolevel 这个词在 ...

  4. Spring4.2 集成ActiveMQ5.14

    1:libs 2:web.xml <?xml version="1.0" encoding="UTF-8"?> <web-app versio ...

  5. VerilogHDL概述与数字IC设计流程学习笔记

    一.HDL的概念和特征 HDL,Hard Discrimination Language的缩写,翻译过来就是硬件描述语言.那么什么是硬件描述语言呢?为什么不叫硬件设计语言呢?硬件描述语言,顾名思义就是 ...

  6. 数字IC设计工程师的知识结构

    刚毕业的时候,我年少轻狂,以为自己已经可以独当一面,庙堂之上所学已经足以应付业界需要.然而在后来的工作过程中,我认识了很多牛人,也从他们身上学到了很多,从中总结了一个IC设计工程师需要具备的知识架构, ...

  7. 数字IC前后端设计中的时序收敛(六)--Max Fanout违反

    本文转自:自己的微信公众号<数字集成电路设计及EDA教程>(二维码见博文底部) 里面主要讲解数字IC前端.后端.DFT.低功耗设计以及验证等相关知识,并且讲解了其中用到的各种EDA工具的教 ...

  8. 数字IC前后端设计中的时序收敛(五)--Max Transition违反的修复方法

    本文转自:自己的微信公众号<数字集成电路设计及EDA教程> 里面主要讲解数字IC前端.后端.DFT.低功耗设计以及验证等相关知识,并且讲解了其中用到的各种EDA工具的教程. 考虑到微信公众 ...

  9. 数字IC前后端设计中的时序收敛(四)--Max Capacitance违反的修复方法

    本文转自:自己的微信公众号<数字集成电路设计及EDA教程> 里面主要讲解数字IC前端.后端.DFT.低功耗设计以及验证等相关知识,并且讲解了其中用到的各种EDA工具的教程. 考虑到微信公众 ...

随机推荐

  1. 安装Office2007时出现1706错误的解决方案

    前几天,重做了系统.周末因为接到一笔单子,很兴奋啊.第一次接到私活.然后就装Office2007,打算看需求的.居然安装的时候出现错误,提示1706错误,后面一串错误信息,也懒得看,以为是文件坏了. ...

  2. jquery判断滚动条是否到底部

    clientHeight:这个元素的高度,占用整个空间的高度,所以,如果一个div有滚动条,那个这个高度则是不包括滚动条没显示出来的下面部分的内容.而只是单纯的DIV的高度. offsetHeight ...

  3. MapReduce性能调优记录

    MapReduce原理 要知道怎么对MapReduce作业进行调优前提条件是需要对Map-Reduce的过程了然于胸. Map-Reduce运行原理图: Map Side 1.从磁盘读取数据并分片 默 ...

  4. [简谈]绕过HR破门而入的求职智慧

    以往我们在网上看到的非常多求职文章或指导性纲领,譬如啥自信.做功课.良好形象.华丽的简历.工作经验.口才啥的,事实上到了21世纪尤其是互联网快速发展的今天,前面这些技巧就显得无比空洞: 1.由于自信谁 ...

  5. T-SQL 之 触发器

    触发器可以做很多事情,但也会带来很多问题.正确的使用在于在适当的时候使用,而不要在不适当的时候使用它们. 触发器的一些常见用途如下: [1] 弹性参照完整性:实现很多DRI不能实现的操作(例如,跨数据 ...

  6. SQL语法 之 操作语句

    一.插入语句 1.插入单行记录 INSERT INTO table_name|view_name[(column1_name [,column2_name, ... ])] VALUES( value ...

  7. MyEclipse发布按钮无效的办法

    删除存放您MyEclipse项目的目录下的“/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.genuitec.eclipse.as ...

  8. 微信小程序 - 输入起点、终点获取距离并且进行路线规划(腾讯地图)

    更新: 2018-9-19 腾讯官方经纬度转详细地址,详细地址转经纬度 index.wxml <!--地图容器--> <map id="myMap" style= ...

  9. async [ə'zɪŋk] 函数

    ES7提供了async函数,使得异步操作变得更加方便.async函数是什么?一句话,async函数就是Generator函数的语法糖. 例1: var asyncFun = async functio ...

  10. 【Linux】cp命令

    用途 cp除了复制功能之外还可以建立快捷方式 全称 cp的全称为copy 参数 -a :相当于同时指定参数pdr -d :若文件为链接文件的属性(link file),则复制链接文件属性而非档案本身 ...