FPGA学习过程(一)】的更多相关文章

项目:数码管动态显示时间 首先建立一个计时一秒的模块,作为数码管显示的需要 module timer_s( input wire clk, input wire rst_n, output wire [31:0] timer ); reg [25:0] cnt; reg [31:0] timer_num; parameter osc_frequency = 50_000_000; initial timer_num <= 0; always @(posedge clk or negedge rs…
前言 终于有时间折腾这块fpga开发板了,不知不觉又熬夜了. 正文 实现一个呼吸灯的项目 打开quartus ii 建立工程 步骤就不讲了 新建一个pwm.v文件内容 module PWM ( input sys_clk, input sys_rst_n, input [WIDTH-1:0] duty_cycle, //output ports output wire pwm ); //reg define reg pwm_out; reg [19:0] counter; // 最大值 1048…
学习FPGA,先要有数电知识,最好有点C语言,,学好硬件描述语言,verilog或者vhdl.在有这些基础上,做一些小的模块不断积累.这里不再赘述. 下面介绍一下关于FPGA学习过程中的一些理论知识. 1.信号处理——信号与系统.数字信号处理.数字图像处理.现代数字信号处理.盲信号处理.自适应滤波器原理.雷达信号处理. 2.接口应用——如:UART.SPI.IIC.USB.CAN.PCIE.Rapid IO.DDR.TCP/IP.SPI4.2(10G以太网接口).SATA.光纤.DisplayP…
在FPGA的学习过程中,最简单最基本的实验应该就是分频器了.由于FPGA的晶振频率都是固定值,只能产生固定频率的时序信号,但是实际工程中我们需要各种各样不同频率的信号,这时候就需要对晶振产生的频率进行分频.比如如果FPGA芯片晶振的频率为50MHz,而我们希望得到1MHz的方波信号,那么就需要对晶振产生的信号进行50分频. 分频器的设计虽然是FPGA学习过程中最简单的实验,但是真正想要把分频器的来龙去脉弄清楚,还是需要花费一番功夫的.下面先介绍一下最常见的几种分频器写法:  1.偶数分频器 相信…
如何学习FPGA中提到第一步:学习.了解FPGA结构,FPGA到底是什么东西,芯片里面有什么,不要开始就拿个开发板照着别人的东西去编程.既然要开始学习FPGA,那么就应该从其基本结构开始.以下内容是我学习过程中的整理的一些东西.主要来自:Xilinx中文网站,博客LAY Trust Jesus,博文FPGA学习笔记 ,博文FPGA基本结构 1.可编程逻辑器件 从PLD的发展历程来看,按照结构区分,前后共有4种可编程逻辑器件类型:PLA.PAL.CPLD和FPGA.PLA——PAL——CPLD是继…
既然选择了远方,便不顾风雨兼程,一路走下去. —韩彬 在看bingo的书时,看到这样写到.做什么事情都不容易,学习也是,所以一个词很重要不忘初心.作为一名大二的学生,我很高兴能够将自己学习FPGA的过程记录下来,一是方便自己以后回头看的时候能够有所感触,而是想分享自己初学入门是的一些经验给予初学者,能尽量少走弯路. 我是大一暑假开始学习FPGA的,我是微电子专业,学校大三才开数电,大一的时候受老师推荐进了一个实验室,但是没有好好珍惜机会.最后便不退自出.大二学校FPGA创新实验室纳新,我非常想加…
HDMI是(High Definition Multimedia Interface)的缩写,意思是高清晰度多媒体接口,是一种数字化视频/音频接口技术,适合影像传输的专用型数字化接口,可同时传送音频和影像信号,最高数据传输速度为48Gbps(2.1版),HDMI相较于VGA接口,它传输的信息量大,色彩度高,传输速度快等显著优点. 一般来说驱动HDMI有两种方法,有些开发板是用一颗专用的HDMI芯片ADV7511做HDMI的输出使用,ADV7511 是一款高速高清晰度多媒体接口(High Defi…
CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数.双曲线.指数.对数的计算.该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数.乘法.开方.反三角.指数等函数. 本文是基于FPGA实现Cordic算法的设计与验证,使用Verilog HDL设计,初步可实现正弦.余弦.反正切函数的实现.将复杂的运算转化成FPGA擅长的加减法和乘法,而乘…
FPGA设计算法依次需要完成MATLAB浮点仿真 MATLAB定点仿真 verilogHDL定点运算以及数据对比的流程.其中浮点到定点的转换尤为重要,需要在数据表示范围和精度之间做出权衡.另外掌握定点运算规则是硬件实现算法的前提.这篇博文介绍了在用FPGA设计实现算法中的一些基础知识,比较全面. 介绍 FPGA是纯粹的硬件设计,当进行算法设计时,Verilog综合后的就是硬件逻辑电路.因此,进行算法设计时,算法设计中需要表示的数字用到的小数.符号.无穷大.整数.浮点数等等对应硬件来说都是一串0和…
最近在学习基于FPGA的DDS设计,借此机会把学习过程记录下来,当作自己的学习笔记也希望能够帮助到学习DDS的小伙伴. DDS(Direct Digital Synthesizer)直接数字合成器,这是直译过来的名字.设计人员一般把它叫做信号发生器,用它来产生一些数字意义上的波形.它的意义还是挺大的,例如我们学习滤波器,就需要一个高低频率叠加的波形,现时生活中到处都是,可以在设计中,怎么能做出这样的波形呢?学习各种载波调制,需要将信息加载到载波上,而载波也一般都是一定频率的正弦波.DDS就是能够…