首页
Python
Java
IOS
Andorid
NodeJS
JavaScript
HTML5
verdi 如何看波形时差
2024-10-24
Verdi 看波形常用快捷操作
Verdi看波形的基本操作小结: 快捷键:(大写字母=Shift+小写) g get, signlas添加信号,显示波形n next, Search Forward选定信号按指定的值(上升沿,下降沿,both,指定Value)向前跳转N 与n功能相同,方向向后y Keep Cursor at Centor(开关)移至中央并保持居中,再按取消固定居中c color,调整所选信号的波形显示颜色,线的粗细和类型,非常方便Debugf full, Zoom All波形
【Debug】逻辑分析仪数据错乱,看波形为信号耦合导致数据错乱,实际上为逻辑分析仪地线没接上!
如图都有数据的时间段,数据错乱,实际为逻辑分析仪地线未接,接上就不会了.
入行数字IC验证的一些建议
0x00 首先,推荐你看两本书,<"胡"说IC菜鸟工程师完美进阶>(pdf版本就行)本书介绍整个流程都有哪些岗位,充分了解IC行业的职业发展方向.<SoC设计方法与实现>强烈推荐这本书,让你了解SoC芯片流程,基本概念以及每个环节是在做什么. 0x04 其次,如果看完之后感兴趣,那你应该是真的感兴趣.那就开始学习专业课程吧! <数字电路技术基础-阎石> (二手市场淘挺多)基础专业课程,建议转行和非科班同学必看,有很多基础且重要的知识点.数字逻辑电路是
『转载』Debussy快速上手(Verdi相似)
『转载』Debussy快速上手(Verdi相似) Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code.schematic diagram.waveform.state bubble diagram之间,即时做trace,协助工程师debug. 可能您会觉的:只要有simulator如ModelSim就可以做debug了,我何必再学这
novas的verdi和debussy是干什么用的(关于debussy的一些介绍)
source code window: 提供了一个比较友好的界面,将整个设计的source code按设计的层次结构以树状排布,并且可以在代码上反标仿真结果,支持查找.寻找驱动等一些debug常用的操作 schematic window: 将设计原代码提取成电路图,有Hierarchy和Flatten两种方式显示,并且能够提取电路的一部分单独显示,或者是提取某个信号的输入/输出电路等,能够很方便的查勘信号.模块之间的电路关系,同样也可以反标仿真结果: waveform window: 可以载入v
转:Modelsim和Vcs+Verdi使用技巧(Linux)
Modelsim脚本自动仿真 1.创建文件 run.do,"#"为注释符号 quit -sim #退出上次仿真 .main clear #清除上次仿真所有文件以及打印信息 vlib work.veloce #创建veloce的工作环境 #------------------------------------------------------------------------------------------------- vlog ./tb_XXXXXXX.v #testben
linux下的EDA——VCS使用
原帖地址:https://blog.csdn.net/moon9999/article/details/75283926 在Linux下对verilogHDL进行功能仿真时非常必要的,下面提供两种常见方式: 1.命令行方式 1)新建文件夹vcs_test,将所有的工程文件与tb文件复制入,testbench中可以没有在脚本文件中添加的函数,一般的tb文件就可以. 本例子中有三个文件: clk_half.v, //对clk信号进行分频. module clk_half( clk_in ,rst
IC验证概念总结
一份代码,在写完之后,一定要再经过一次或多次整理和打磨,才能算完成的:一份代码,一定要把其有效代码行,精简.锤炼到最少.最短.最有效,才能算完成的. 下面这些问题和回答是忘记是在哪里看到的了,参考夏晶的文章,和我自己的验证经验,做了补充,作为日后学习的参考. Q:验证的目的? A:这也是发现Bug,发现所有的Bug,或者证明没有Bug(转自夏晶的帖子),无论任何验证语言.任何验证环境.任何验证方法学.任何Feature List,都是为了达成这一目的而使用的方法,或者所手段.这是夏晶给出来的
验证人员应该以何种角度阅读spec
转载:验证人员应该以何种角度阅读spec - 微波EDA网 (mweda.com) 在开发流程中,设计和验证人员关注的点肯定是不一样的,尤其在spec的理解上,验证人员往往需要有自己独立的理解.在拿到spec时,作为验证人员,应该如何提炼其中的功能从而转化为对应的inference model以实现和详细设计的交叉验证.大家有什么经验能讨论一下下 1) 我觉得验证人员看spec中的功能点的时候,需要关注输入,输出以及从输入到输出所需要的时间.首先,"从输入到输出所需要的时间",也就是R
interface中setup_time和hold_time
interface中的setup_time和hold_time input:约束input信号提前T时间采样,然后在时钟沿更新到input信号上. output:约束output信号,在时钟沿T时间后驱动数据到output信号上. 这个两个时间和setup和hold没有关系,不知道为啥取这个名字. clocking drv_cb @(posedge clk); default input #setup_time output #hold_time; output data; endclockin
浅谈用ModelSim+Synplify+Quartus来实现Altera FPGA的仿真
浅谈用ModelSim+Synplify+Quartus来实现Altera FPGA的仿真 工作内容: Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器.它采用直接优化的编译技术.Tcl/Tk技术.和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件. Synplify.S
iPhone 上拨号键盘的发音规律
上个学期在信号处理课上选做的题目和这个问题正好相关. 如焕杰同学所说,iPhone拨号音是使用双音多频信号(DTMF).双音多频信号是贝尔实验室发明的,通常用于发送被叫号码,它取代了早起的脉冲拨号方法.和DTMF配合使用的是DTMF键盘,标准的是4X4的键盘,但是通常电话不需要16个按键,只有12个按键.每个按键都有一个横坐标和一个纵坐标,分别对应一个频率.传统的DTMF信号是用来在固话网络上在带内(跟语音通话公用信道)传输信息的.比如被叫号码或者其它信令(网上银行输入卡号密码等). 而手机的类
用ModelSim仿真SDRAM操作
之前写了两篇关于Modelsim仿真的blog,其中模块管脚的命名可能让人觉得有些奇怪,其实不然,之前的两篇内容都是为了仿真SDRAM操作做铺垫的. 由于SDRAM的仿真过程相对比较复杂,也比较繁琐.故可能需要不止一篇blog来完成. 在开始仿真之前,如果对SDRAM原理以及时序不是很了解的朋友,推荐看一下如下这篇文章: SDRAM-高手进阶,终极内存技术指南——完整进阶版 OK,下面正式开始仿真的过程. 通过看内存技术指南,我们大概可以总结出SDRAM的工作过程: 上电后进入200us的输入稳
加快modelsim仿真速度的方法(原创)
①仿真精度越高,仿真效率月底. 仿真时采用`timescale 1ns/1ns比采用1ns/100ps的仿真效率高 simulation was two billion ns. ②clock generation coding tips (from<A BFM Simulation Strategy for Verilog>) ②减少层次结构 在设计中层次结构越少,仿真速度越快,这是因为参数在module中通过端口传递会消耗仿真器的时间 ③进程越少,仿真效率越高 代码中出现的进程越少,仿真越快
vsim仿真VHDL输出fsdb格式文件
vsim(modelsim)仿真VHDL输出fsdb格式文件 1.Dump准备 (1) 将下列设置放到顶层testbench tb.vhd文件中[注意放置的位置:关系如图] library novas; use novas.pkg.all; process begin fsdbDumpfile("counter.fsdb"); fsdbDumpvars(0, "counter_tb"); wait; end process; --0表示dump的模块层,“count
基于Verilog HDL的ADC0809CCN数据采样
本实验是用ADC0809CCN进行数据采样,并用7段数码管进行显示. ADC0809由一个8路模拟开关.一个地址锁存与译码器.一个A/D转换器和一个三态输出锁存器组成.多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换.三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据.如下图所示. 时序图(本实验用上升沿去采数据): 原理图: 工作方式: ALE为地址锁存允许输入线,高电平有效.当ALE线为高电平时,地址锁存与译码器将
MC34063+MOSFET扩流 12V-5V 折腾出了高效率电路(转)
源:http://www.amobbs.com/thread-5484710-1-1.html 从网上找到一些MC34063扩流降压电路图,一个个的试,根本达不到我的基本要求,全都延续了34063的降压低效率,仅把发热点从IC转到功率管上,基本上都是垃圾,另外其他人在网上说,这本来就是很难弄的,等等.最后还是不得不自己折腾,搭出了一个自己满意的电路. MOSFET看起来是很容易使用的一种元件,其最佳工作状态就要么导通,要么全截止,驱动电平高或低,不能模棱两可,否则就成了一种昂贵的加热器.3406
使用Modelsim进行简单仿真
这里记载一下使用modelsim进行简单的仿真,方便以后使用的时候进行查看.所谓的简单的仿真,就是没有IP核.只用图形界面不用tcl脚本进行的仿真.简单的仿真步骤为: 1.改变路径到工作环境下的路径下面,创建工程. 2.添加仿真的源文件(.v文件等). 3.编译源文件. 4.启动仿真,添加仿真信号. 5.调试.查看仿真波形. 这里就使用一个简单的例子——8分频电路,进行演示和讲解: 1.改变路径到工作环境下的路径下面,创建工程: ①打开modelsim后如果有工程,则先关掉工程: 关掉工程:
音频软件开发中的debug方法和工具
本文系作者原创.如转载,请注明出处. 谢谢! 音频软件开发同其他软件开发一样,都需要去调试.音频软件调试同其他软件调试方法有相同的地方,也有不同的地方,同时调试时还需要借助一些专门的工具,有了这些方法和工具,就能快速的定位问题和解决问题.下面我们就谈谈这些方法和工具. 1,方法 1)log 这是软件调试中最常用的方法,音频调试也不例外.在写代码时加上一定的log, 在出问题时就打开这些log,通过log分析问题出在什么地方.一个好的log体现在如下几点: a) 要有时间和日期,有时候时间戳
初探linux子系统集之i2c子系统(一)
I2c子系统在进公司来的时候就学习过了,可是那是还不是很熟悉linux中的i2c子系统,就没有细看.记得当初很想熟悉linux中的各种总线驱动,想专门写一个关于总线驱动的专集,后来发现好像就没有几个,粗粗的写完了,也就是和菜鸟一起学linux总线驱动的专集.现在子系统又要开始讲到i2c了,那么就偷个小懒,参考一下下. 讲到了i2c子系统,一开始便是要讲解下i2c的协议了,从最下面的协议开始熟悉,因为一般调试的时候就是看波形,有没有clock,有没有data. I2C总线由两根线组成,即串行数据(
热门专题
Windows注册表内容详解
uniapp表格自动滚动
mybatis中collection只能一对一
HashMap 根据key 进行排序
php使用n层加密str_rot13 base64 eval
AllMargins2012 使用
html 打印 保留css样式
html苹果安卓手机复制兼容
opengl es 纹理 不混色
微信小程序修改css全局变量
MFC打开文件关闭对话框报凑
yarn提交指定队列
python 树结构 node 参数读取
微信小程序 background-position不生效
qcombobox 恢复选项
思博伦测试仪怎么创建DHCP
串口发送数据软件没有调用datarecived
java 一个字符串后几位用*替换
sync.RWMutex和sync.Mutex
安卓10无法打开Intent网页