VHDL_ADC之cic_diffcell
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all; library edclib;
use edclib.pkg_xxxlib.all; -- package from company
8 --! pipelined comb-chain for cic_filter
entity cic_diffcell is
generic
(
Width : natural := ; --! width
M : natural := --! difference fifo depth
);
port
(
RST : in std_logic; --! Async Reset
C : in std_logic; --! Clock
R : in std_logic; --! needed to clear registers during sw-reset
CEI : in std_logic; --! new data on input
DIN : in std_logic_vector(Width- downto ); --! data in
CEO : out std_logic; --! new data on output
DOUT : out std_logic_vector(Width- downto ) --! data out
);
end cic_diffcell; 27 --! pipelined comb-chain for cic_filter
architecture asic of cic_diffcell is
type data_type is array (natural range <>) of std_logic_vector( Width- downto ); --! set of registers
signal reg : data_type(M downto ); --! delay register (index 0 means DIN)
signal diff : std_logic_vector( Width- downto ); --! subtraction begin reg() <= din when r='' else (Width- => '', others => ''); 37 --! stage delay
gdel: for i in to M generate
UDEL : REGDCE generic map(Width) port map(RST,C,CEI,reg(i-),reg(i));
end generate; 42 --subtract
diff <= std_logic_vector( signed(din) - signed(reg(m)) ) when r='' else (others => ''); 45 --Output-Reg (Sysclk delay)
UOREG: REGDCE generic map(Width) port map(RST,C,CEI, diff, DOUT); 48 --CE-chain
UCE: FFD port map(RST,C,CEI,CEO); end asic;
VHDL_ADC之cic_diffcell的更多相关文章
随机推荐
- lua中的metatable和metamethod
--元表和元方法给lua里的值设定一些操作,让我们可以对这些操作自定义 --创建一个新的table变量时,它是不存在元表的 --在Lua中,只能设置table的元表,其他类型的值的元表,只能通过C代码 ...
- _deque实现
/* deque是一种双向开口的连续线性空间,可以在头尾两端分别做元素的插入和删除操作 常用接口:back(), front(), push_back(), pop_back(), push_fron ...
- 今天開始慢下脚步,開始ios技术知识的查漏补缺。
从2014.6.30 開始工作算起. 如今已经是第416天了.不止不觉.时间过的真快. 通过对之前工作的总结.发现,你的知识面.会决定你面对问题时的态度.过程和结果. 简单来讲.知识面拓展了,你才干有 ...
- MVC中从控制器到视图的数据传递方法汇总
1.ViewData对象概述ViewData是一种字典集合数据,是"视图基类"和"控制器基类"的属性常见用法是在控制器中写入数据,在视图中读取数据ViewDat ...
- iOS 设置启动页面 时间
[NSThread sleepForTimeInterval:3.0]; 时间越大 ,启动页面停留的时间越长 iOS 8之后,,创建项目自带的有 LaunchScreen.xib 可直接用
- 打造atom成为golang开发神器
在我在Windows系统上开发的日子里.我使用IDE开发数年之久.比如Visual Basic IDE, Borland Delphi IDE, Visual C++ 和最后的Visual Studi ...
- LeetCode 500. Keyboard Row (键盘行)
Given a List of words, return the words that can be typed using letters of alphabet on only one row' ...
- linux 统计 文件夹信息
ls -lR|grep "^-"|wc -l Linux 统计某个字符串出现的次数 - ywl925 - 博客园 https://www.cnblogs.com/ywl925/p/ ...
- 【Poj2960】S-Nim & 博弈论
Position: http://poj.org/problem?id=2960 List Poj2960 S-Nim List Description Knowledge Solution Noti ...
- 【POJ 3614】 Sunscreen
[题目链接] http://poj.org/problem?id=3614 [算法] 将MinSPF从大到小排序,每头牛找SPF值最大的防晒霜 [代码] #include <algorithm& ...