library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all; library edclib;
use edclib.pkg_xxxlib.all;  -- package from company

8 --! pipelined comb-chain for cic_filter
entity cic_diffcell is
generic
(
Width : natural := ; --! width
M : natural := --! difference fifo depth
);
port
(
RST : in std_logic; --! Async Reset
C : in std_logic; --! Clock
R : in std_logic; --! needed to clear registers during sw-reset
CEI : in std_logic; --! new data on input
DIN : in std_logic_vector(Width- downto ); --! data in
CEO : out std_logic; --! new data on output
DOUT : out std_logic_vector(Width- downto ) --! data out
);
end cic_diffcell; 27 --! pipelined comb-chain for cic_filter
architecture asic of cic_diffcell is
type data_type is array (natural range <>) of std_logic_vector( Width- downto ); --! set of registers
signal reg : data_type(M downto ); --! delay register (index 0 means DIN)
signal diff : std_logic_vector( Width- downto ); --! subtraction begin reg() <= din when r='' else (Width- => '', others => ''); 37 --! stage delay
gdel: for i in to M generate
UDEL : REGDCE generic map(Width) port map(RST,C,CEI,reg(i-),reg(i));
end generate; 42 --subtract
diff <= std_logic_vector( signed(din) - signed(reg(m)) ) when r='' else (others => ''); 45 --Output-Reg (Sysclk delay)
UOREG: REGDCE generic map(Width) port map(RST,C,CEI, diff, DOUT); 48 --CE-chain
UCE: FFD port map(RST,C,CEI,CEO); end asic;

VHDL_ADC之cic_diffcell的更多相关文章

随机推荐

  1. django自身提供的sitemap和feed实现样例

    <DJANGO BY EXAMPLE>这书的例子真是精心全过的, 基本的WEB开发过程全覆盖啊. 跟着一步一步的弄就OK啦..可以长很多知道的. 这次跟着作的是sitemap和feed功能 ...

  2. 对象和变量的并发访问synchronized解析以及死锁分析排查

    一.synchronized java并发编程中存在“非线程安全"问题.“非线程安全"是指发生在多个线程对同一个对象中的实例变量并发访问时,产生的”脏读“现象,使用synchron ...

  3. 分析helo1项目中的 Web.xml

    web.xml文件位于hello1 中target/WEB-INF/classes/javaeetutorial目录下. 这个web.xml文件包含Facelets应用程序所需的几个元素: 其中(1) ...

  4. Html5 history Api简介

    一. Html4的History API back() 后退,跟按下“后退”键是等效的. forward() 前进,跟按下“前进”键是等效的. go() 用法:history.go(x):在历史的范围 ...

  5. 简单解决 WIN10更新后 远程桌面提示 CredSSP加密Oracle修正的问题

    更新WIN10后,打开远程桌面,提示: 以 管理员身份打开 cmd或者PowerShell,贴入: REG ADD HKLM\Software\Microsoft\Windows\CurrentVer ...

  6. zoj 1880 - Tug of War

    题目:有n个人分成两组,两组人数差不能超过1,找到两组的人重量之差的最小值. 分析:dp,二维01背包. 由于必须放在两个组中的一组,直接背包全部可到状态, 取出相差不超过 1的最接近 sum/2的值 ...

  7. java web项目优化记录:优化考试系统

    考试系统在进行压力測试时发现,并发量高之后出现了button无反应.试题答案不能写到数据库的问题,于是针对这些核心问题,进行了优化. 数据库方面: Select语句:Select * from TEB ...

  8. 在Tomcat服务器中去端口访问域名

    在刚购买域名并解析后,从外网访问Tomcat服务器时是需要在域名后面加端口":8080".要去端口访问的步骤如下: 在Tomcat目录下的conf文件夹下,打开server.xml ...

  9. nyoj27水池数目 (DFS)

    题目27 题目信息 执行结果 本题排行 pid=27" style="text-decoration:none; color:rgb(55,119,188)">讨论 ...

  10. 深入struts2(二) ---stuts2长处和主要包、类功能

    1.1     Struts2 上节已讲.struts2在webwork基础发展起来的mvc框架.MVC框架相信一般码农都比較了解,这里不再重说. 在这里只对于一下struts1,struts2做了哪 ...