VHDL_ADC之cic_diffcell
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all; library edclib;
use edclib.pkg_xxxlib.all; -- package from company
8 --! pipelined comb-chain for cic_filter
entity cic_diffcell is
generic
(
Width : natural := ; --! width
M : natural := --! difference fifo depth
);
port
(
RST : in std_logic; --! Async Reset
C : in std_logic; --! Clock
R : in std_logic; --! needed to clear registers during sw-reset
CEI : in std_logic; --! new data on input
DIN : in std_logic_vector(Width- downto ); --! data in
CEO : out std_logic; --! new data on output
DOUT : out std_logic_vector(Width- downto ) --! data out
);
end cic_diffcell; 27 --! pipelined comb-chain for cic_filter
architecture asic of cic_diffcell is
type data_type is array (natural range <>) of std_logic_vector( Width- downto ); --! set of registers
signal reg : data_type(M downto ); --! delay register (index 0 means DIN)
signal diff : std_logic_vector( Width- downto ); --! subtraction begin reg() <= din when r='' else (Width- => '', others => ''); 37 --! stage delay
gdel: for i in to M generate
UDEL : REGDCE generic map(Width) port map(RST,C,CEI,reg(i-),reg(i));
end generate; 42 --subtract
diff <= std_logic_vector( signed(din) - signed(reg(m)) ) when r='' else (others => ''); 45 --Output-Reg (Sysclk delay)
UOREG: REGDCE generic map(Width) port map(RST,C,CEI, diff, DOUT); 48 --CE-chain
UCE: FFD port map(RST,C,CEI,CEO); end asic;
VHDL_ADC之cic_diffcell的更多相关文章
随机推荐
- nyoj_518_取球游戏_201404161738
取球游戏 时间限制:1000 ms | 内存限制:65535 KB 难度:2 描述 今盒子里有n个小球,A.B两人轮流从盒中取球,每个人都可以看到另一个人取了多少个,也可以看到盒中还剩下多少个 ...
- The Evaluation of Determinant(求行列式mod一个数的值)
#include<cstdio> #include<iostream> #include<algorithm> #include<cstring> #i ...
- Java中DAO/DTO/PO/VO/BO/QO/POJO
ORM:是Object Relational Mapping(对象关系映射)的缩写. 通俗点讲,就是将对象与关系数据库绑定,用对象来表示关系数据.在O/R/M的世界里,有两个基本的也是重要的东东需要了 ...
- I/O 模型及其设计模式
来源:伯乐在线 - 咸菜 链接:http://blog.jobbole.com/104638/ 前言 I/O在软件开发中的重要性无需多言,无论是在操作系统.网络协议.DBMS这种底层支撑软件还是在移动 ...
- 第3章 ES文档和故障处理
第3章 ES文档和故障处理 一.ES网络配置表 ES网络配置表是ES的硬件和软件组成的列表.ES网络配置常包括以下项目: 分级 项目 杂项信息 系统名.系统厂商/型号.CPU速率.RAM.存储器.系统 ...
- Android:使用SparseArray取代HashMap优化性能
之前看到一篇关于adapter的文章用到了SparseArray,所以在这里写写关于SparseArray的使用方法. SparseArray是官方针对安卓所写的容器,与HashMap类似,只是性能比 ...
- hdu 5950 Recursive sequence
题意:告诉你数列的递推公式为f(n+1)=f(n)+2*f(n-1)+(n+1)^4 以及前两项a,b:问第n项为多少,结果对2147493647取模. 题解:有递推公式,马上应该就能想到矩阵快速幂: ...
- charts柱状图,定时刷新
option: var dataoptionone = { title : { text: '数据存储情况', subtext: '数据表', x:'center' }, tooltip: { sho ...
- common upload乱码
request.setCheracterEncoding("utf-8"); DiskFileUpload.setHeaderEncoding("utf-8") ...
- 【POJ 3784】 Running Median
[题目链接] http://poj.org/problem?id=3784 [算法] 对顶堆算法 要求动态维护中位数,我们可以将1-M/2(向下取整)小的数放在大根堆中,M/2+1-M小的数放在小根堆 ...