1  Unique identifier (UID)

  The VICCs are uniquely identified by a 64 bits unique identifier (UID). This is used for addressing each VICC uniquely and individually, during the anticollision loop and for one-to-one exchange between a VCD and a VICC.

  The UID shall be set permanently by the IC manufacturer in accordance with figure 1.

  

  The UID comprises

  • The 8 MSB bits shall be 'E0',

  • The IC manufacturer code, on 8 bits according to ISO/IEC 7816-6/AM1,

  • A unique serial number on 48 bits assigned by the IC manufacturer.

2  Example

 entity uid15963 is
port
(
RST : in std_logic; --! Async Reset
C : in std_logic; --! Clock
CE : in std_logic; --! Enable
BCNT : in std_logic_vector( downto ); --! byte counter
MANUFACT : in std_logic_vector( downto ); --! Manufacture-Code from EEPROM
SERIAL : in std_logic_vector( downto ); --! Serial number from EEPROM
BYTE : in std_logic_vector( downto ); --! Data byte input
UIDVALID : out std_logic;  --! UID is OK
MFCVALID : out std_logic --! MANUFACT is OK (for custom commands)
);
end uid15963;
signal c_valid : std_logic;                --current validation
signal n_valid : std_logic; --next validation signal compare : std_logic_vector( downto ); --compare results begin --! It's an D-Reset-Flip-Flop with enable ...
pff: process (RST,C)
begin
if RST = '' then
c_valid <= '';
MFCVALID <= '';
elsif C'event and C = '' then
if CE = '' then
if BCNT = "" then
c_valid <= n_valid;
MFCVALID <= compare();
else
c_valid <= c_valid and n_valid;
end if;
end if;
end if;
end process; UIDVALID <= c_valid; compare() <= '' when BYTE = X"E0" else '';
compare() <= '' when BYTE = MANUFACT else '';
compare() <= '' when BYTE = SERIAL( downto ) else '';
compare() <= '' when BYTE = SERIAL( downto ) else '';
compare() <= '' when BYTE = SERIAL( downto ) else '';
compare() <= '' when BYTE = SERIAL( downto ) else '';
compare() <= '' when BYTE = SERIAL( downto ) else '';
compare() <= '' when BYTE = SERIAL( downto ) else ''; with BCNT select
n_valid <= compare() when "",
compare() when "",
compare() when "",
compare() when "",
compare() when "",
compare() when "",
compare() when "",
compare() when others;

RFID之UID的更多相关文章

  1. Arduino + RFID 读取 IC 卡 Arduino uno中获得RFID的UID 并通过串口转发RFID卡号

    RFID简介:射频识别即RFID(Radio Frequency IDentification)技术,又称无线射频识别,是一种通信技术,可通过无线电讯号识别特定目标并读写相关数据,而无需识别系统与特定 ...

  2. RFID应用范围

    RFID应用范围 (1)物流: 物流过程中的货物追踪,信息自动采集,仓储应用,港口应用,邮政,快递 (2)零售: 商品的销售数据实时统计,补货,防盗 (3)制造业: 生产数据的实时监控,质量追踪,自动 ...

  3. RFID Hacking④:使用ProxMark3 破解门禁

    文中提及的部分技术可能带有一定攻击性,仅供安全学习和教学用途,禁止非法使用! 0×00 前言 国际黑客大会Defcon传统之一:开锁!因为黑客认为锁也是一种安全挑战.我们在黑客题材电影.电视剧中也常常 ...

  4. RFID 仿真/模拟/监控/拦截/检测/嗅探器

    Sound card based RFID sniffer/emulator (Too tired after recon.cx to do draw the schematics better th ...

  5. RFID 基础/分类/编码/调制/传输

    不同频段的RFID产品会有不同的特性,本文详细介绍了无源的感应器在不同工作频率产品的特性以及主要的应用. 目前定义RFID产品的工作频率有低频.高频和甚高频的频率范围内的符合不同标准的不同的产品,而且 ...

  6. RFID Hacking③:使用ProxMark3嗅探银行闪付卡信息

    0×00 前言 RFID是Radio Frequency Identification的缩写,术语为射频识别,俗称电子标签.按照工作频率的不同,RFID标签可以分为低频(LF).高频(HF).超高频( ...

  7. 玩转无线电 -- 温哥华天车 RFID 票务系统

    0x00 前言 如今物联网 RFID系统已经完全融入了我们的生活当中. 从楼宇门禁到 Apple Pay. 可以说其身影是无处不在.很多网友也分享了自己对RFID系统的安全测试心得.不过大多还是基于门 ...

  8. RFID Hacking①:突破门禁潜入FreeBuf大本营

    某天,偶然间拿到了FreeBuf Pnig0s同学的工卡信息,终于有机会去做一些羞羞的事情了 引子 以下故事纯属虚构,如有雷同,纯属巧合. 我应聘了一个大型IT公司的"网络攻击研究部经理&q ...

  9. C++ MFC实现基于RFID读写器的上位机软件

    C++ MFC实现基于RFID读写器的上位机软件 该博客涉及的完整工程托管在https://github.com/Wsine/UpperMonitor,觉得好请给个Star (/▽\=) 运行和测试环 ...

随机推荐

  1. 二分查找和hash查找

    转载:http://blog.csdn.net/feixiaoxing/article/details/6844723 无论是数据库,还是普通的ERP系统,查找功能数据处理的一个基本功能.数据查找并不 ...

  2. 你猜……你再猜

    『男』:你喜欢我吗? 『女』:你猜. 『男』:喜欢. 『女』:你再猜. 『男』:--

  3. Java 数据类型转换

    int iValue = new Integer(strValue).intValue();String str = intObj.toString();int number = Integer.pa ...

  4. poj 动态规划题目列表及总结

    此文转载别人,希望自己能够做完这些题目! 1.POJ动态规划题目列表 容易:1018, 1050, 1083, 1088, 1125, 1143, 1157, 1163, 1178, 1179, 11 ...

  5. 《OD学hive》第四周0717

    一.Hive基本概念.安装部署与初步使用 1. 后续课程 Hive 项目:hadoop hive sqoop flume hbase 电商离线数据分析 CDH Storm:分布式实时计算框架 Spar ...

  6. 【Todo】所有Locked的题目的分析解答

    下面这个链接有比较全的leetcode题目包括锁的 http://www.cnblogs.com/grandyang/p/4606334.html https://leetcode.com/probl ...

  7. mac terminal 命令

    mac下显示隐藏文件 显示 defaults write com.apple.finder AppleShowAllFiles -bool true 隐藏 defaults write com.app ...

  8. POI刷新数据后的函数(公式)更新问题

    使用POI将Excel模板中的数据进行更新,这应该是很常见的操作 下面就贴上我的一小段代码 public class ModifyExcel { /** * @param fileName Excel ...

  9. 【笨嘴拙舌WINDOWS】消息机制

    如果将WINDOWS比做一个人,那么他就是为你提供各种服务的巫师,他手上有各式各样,奇形怪状的奇葩物品.他脑子充满了智慧,能够为你解决你所不能解决的疑难杂症.但是他不认识你! 你从小立志要想考状元,去 ...

  10. iso中第三方框架SDWebImage的使用步骤

    一.SDWebImage的使用 1.依赖的框架 * ImageIO.framework * MapKit.framework 2.UIImageView下载图片需要的头文件:UIImageView+W ...