1.delay control : an expression specifies the time duration between initially encountering the statement and when the statement actually executes. the delay expressiong can be dynamic function of the state of the circuit,but it can be a simple number…
1.procedural assignments are used for updating reg ,integer , time ,real,realtime and memory data types. 2.difference between procedural assignments and continuous assignments    (1)continuous assignments drive nets and are evaluated and updated when…
block statements : 1. sequential block  : begin-end block 2.parallel block       :  fork - join block block name : 如果block有自己的label, 1.可以disable 此block. 2.可以穿层次应用 block中的变量. 变量都是静态存储. PS:  when an assignement is to be made after two separate events h…
1.forever 2.repeat 3.while 4.for The for statement accomplishes the same results as the following pseudo-code that is based on the while loop:   begin       initial_assignment;       while(condition)begin           statement;           step_assignmen…
[page_break] 本文适合初学者,源代码:mux4_to_1.v  工作内容: 1.设计一个多路选择器,利用ModelSimSE做功能仿真: 2.利用Synplify Pro进行综合,生成xxx.vqm文件: 3.利用Quartus II导入xxx.vqm进行自动布局布线,并生成xxx.vo(Verilog 4.利用ModelSimSE做后仿真,看是否满足要求.  注: 1. 仿真器(Simulator)是用来仿真电路的波形. 2. 综合工具(Synthesizer)的功能是将HDL转换…
A code sequence made up multiple instructions and specifying an offset from a base address is identified in an object file. The offset from the base address corresponds to an offset location in a memory configured for storing an address of a variable…
在ASIC设计中,有两种HDL construct来描述delay信息: 1)Distributed delays:通过specify event经过gates和nets的time,来描述delay; 对于net和gate都有三种delay信息: 1)rise delay   2)fall delay    3)transition to high-impedance value 只有一种delay时,所有change都使用这种delay,两个delay值时,才分为rise/fall 每一种de…
Verilog Interiew Quetions Collection :  What is the difference between $display and $monitor and $write and $strobe? What is the difference between code-compiled simulator and normal simulator? What is the difference between wire and reg? What is the…
1. What is the race condition in verilog? Ans :The situation when two expressions are allowed to execute at same instance of time without mentioning the order of execution. 2. List the levels of abstraction in verilog? Ans : 1. Behavioral level 2. Re…
IEEE Std 1364™-2001, IEEE Standard Verilog® Hardware Description Language The assign procedural continuous assignment statement shall override all procedural assignments to a variable. The deassign procedural statement shall end a procedural continuo…
Verilog 区分大小写, 且所有关键字都是小写 1  register = storage keyword reg; default x; variable that can hold value 2  net = connection keyword wire; default z; be driven continuously 例 1)  D 触发器 (同步复位) module dff(clk, rst, d, q); //dff with syn reset input clk, rs…
*作者: Ian11122840    时间: 2010-9-27 09:04                                                                                                                                                                * *标题: 菜鸟做设计必看!有关如何做设计的整体思路,以及能否综合的笔记             …
来源:http://www.codesoso.net/Record/101092_95120_21.html 来源:http://www.cnblogs.com/oomusou/archive/2011/06/05/fsm_coding_style.html Moore FSM架构 一般在写FSM时,会以Moore FSM为主,所以先讨论Moore.由上图可知,Moore FSM内部由3个block所构成:Next state logic,State register与Output logic.…
Verilog 常见错误汇总 1.Found clock-sensitive change during active clock edge at time <time> on register "<name>" 原因:vector source file中时钟敏感信号(如:数据,允许端,清零,同步加载等)在时钟的边缘同时变化.而时钟敏感信号是不能在时钟边沿变化的.其后果为导致结果不正确. 措施:编辑vector source file 2.Verilog HD…
统一建模语言(UML) |  类图 什么是UML? UML是一种用于可视化描述系统,具有广泛用途的建模语言.作为一种标准化的图形语言,在软件工业中被用于软件系统部件的具体化,可视化,结构化描述以及撰写文档,同样在商业模型中也得到应用. UML的优点: 使复杂的软件设计更为简单,也能够实现像OOP(面向对象编程)这一类被广泛应用的概念. 用理解起来可能更容易的图来描述,避免了大量的文字. 是表达和交流概念或系统结构变得更容易. 在一张图中就能够描绘出整个系统. 程序员实用类图来描述实际需求时,可让…
This document provides you with interesting background information about the technology that underpins XJTAG. You do not need to know any of this however to be able to use the XJTAG development system as XJTAG tests are developed in a high-level prog…
TimingTool - The Timing Diagram TimingTool is designed to give electronics engineers an easy to use graphical interface for entering and editing timing diagrams commonly seen in digital electronic design. TimingTool is intuitive in use and always pre…
Verilog模块 Verilog中代码描述的电路叫模块,模块具有以下的结构: module module_name[ (portname {, portname})]; //端口列表 [parameter declarations] //参数定义 [input declarations] // I/O定义 [output declarations] [inout declarations] [wire or tri declarations] //内部信号定义 [reg or integer…
1. 连续赋值语句(Continuous Assignments) 连续赋值语句是Verilog数据流建模的基本语句,用于对线网进行赋值,等价于门级描述,是从更高的抽象角度来对电路进行描述.连续赋值语句必须以关键词assign开始. 连续复制的主要特点是: 连续赋值语句的左值可以是一下类型之一: ①标量线网 ②向量线网 ③矩阵中的一个元素(该矩阵可以是标量线网类型的,也可以是向量线网类型的) ④向量线网的某一位 ⑤向量线网的部分位 以及上述各种类型的拼接体 但是,不能是向量或向量寄存器. 连续赋…
AbstractFSM在數位電路中非常重要,藉由FSM,可以讓數位電路也能循序地執行起演算法.本文將詳細討論各種FSM coding style的優缺點,並歸納出推薦的coding style. Introduction使用環境:Debussy 5.4 v9 + ModelSim SE 6.3e + Quartus II 8.1 本文將討論以下主題: 1.Moore FSM的架構 2.Moore FSM各種coding style比較 3.Mealy FSM架構 4.Mealy FSM各種cod…
TCAM 三态内容地址查找存储器,CAM内容地址查找存储器.区别在于TCAM多了一级掩码功能,也就是说可以指定某几位是dont care.匹配的时候0,1都行的意思. 广泛应用于数据流处理领域,本文简要介绍TCAM和CAM的逻辑组成和Verilog实例. TCAM对于一个带mask的表项,如:“1000 * * * * ”. 为表达流表项,我们在下载流表之前我们需要做一步计算, 首先将其分解为entry1 和 mask: 第二步,令entry2 = entry & mask 所以entry2 =…
这一篇笔记主要记录Procedural,Process,Task and function,Interface和Communication中值得注意的点. 1.Procedural 写testbench的时候,除了tb与硬件交互的地方使用非阻塞赋值,tb里面其他地方一律用阻塞赋值,OK logic [:] d0,d1; initial begin d0 <= ; $display("d0 value %0d",d0); //d0=x;logic在未被初始化的时候是x d1 = ;…
Verilog 大小写敏感,且所有关键字都是小写 1  寄存器 register = storage,是数据存储单元的抽象,可视为能够存储数值的变量 (variable that can hold value) 关键字 reg; 缺省值 x; 2  网络连接 net = connection, 表示寄存器之间的连接,只能采用连续赋值 (must be driven continuously) 关键字 wire; 缺省值 z; 2.1  D 触发器 (同步复位) module dff(clk, r…
/* * Function: VGA Timing Generator * Author: Liutianchen * Date: 2016-12-5 * Version: 6.0 * Environment: Verilog HDL with Vivado 2016.3 */ `timescale 1ns / 1ps /* PARAMETER: 0: Sync 1: Sync + Back porch 2: Sync + Back porch + Display Area 3: Sync +…
// DSP48A1 : In order to incorporate this function into the design, // Verilog : the following instance declaration needs to be placed // instance : in the body of the design code. The instance name // declaration : (DSP48A1_inst) and/or the port dec…
人气腹语术师天愿在现场披露了被人偶搭档夺取灵魂的腹语术师将妻子杀害的表演节目.天愿真的陷入了多重人格,命令自己杀害妻子和子的人偶的人格出现了.为了不(让自己)杀害和弟子登川有外遇的妻子,天愿提出委托想要监视,然而第二天早上,和子真的被杀害的事件发生了.天愿坦白很可能是在自己的意识失去的时候杀害的……”(----“真相只有一个”<名侦探柯南>一向是老衲喜欢的动画片)这个是第806回<腹语師的错觉>的介绍. 人有双重人格,或者叫人格分裂,那么语言呢?Verilog语言还真的是人格分裂的…
前言:感慨于居然不用tesselation也可以产生这么复杂的地形,当然致命的那个关于不能有洞的缺陷还是没有办法,但是这个赶脚生成的已经足够好了,再加上其它模型估 计效果还是比较震撼的.总之好文共分享吧.Ryan GeissNVIDIA Corporation 1.1 Introduction Procedural terrains have traditionally been limited to height fields that are generated by the CPU and…
1. 规范很重要      工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件还是硬件),不按照规范走几乎是不可实现的.逻辑设计也是这样:如果不按规范做的话,过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了,更不要说检错了:如果一个项目做了一半一个人走了,接班的估计得从头开始设计:如果需要在原来的版本基础上增加新功能,很可能也得从头来过,很难做到设计的可重用性.在逻辑方面,我觉得比较重要的规范有这些: (1) 设计必须文档化      要将设计思路,详…
1.规范很重要工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件还是硬件),不按照规范走几乎是不可实现的.逻辑设计也是这样:如果不按规范做的话,过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了,更不要说检错了:如果一个项目做了一半一个人走了,接班的估计得从头开始设计:如果需要在原来的版本基础上增加新功能,很可能也得从头来过,很难做到设计的可重用性. 在逻辑方面,我觉得比较重要的规范有这些:1.设计必须文档化.要将设计思路,详细实现等写入文档,然后经过严…
Something about UML: 统一建模语言(UML,英语:Unified Modeling Language)是非专利的第三代建模和规约语言.UML是一种开放的方法,用于说明.可视化.构建和编写一个正在开发的.面向对象的.软件密集系统的制品的开放方法.UML展现了一系列最佳工程实践,这些最佳实践在对大规模,复杂系统进行建模方面,特别是在软件架构层次已经被验证有效. UML集成了Booch,OMT和面向对象软件工程的概念,将这些方法融合为单一的,通用的,并且可以广泛使用的建模语言.UM…